طزاحي هذار اي VLSI فصل چ ارم: ا ر گز CMOS

Size: px
Start display at page:

Download "طزاحي هذار اي VLSI فصل چ ارم: ا ر گز CMOS"

Transcription

1 دانشگاه صنعتي اميركبير دانشكده مهندسي برق طزاحي هذار اي VLSI فصل چ ارم: ا ر گز CMOS جيذ ؿا چيا

2

3 تزا شیست ر ا تقالي دس تشا ضیؼت س ا تما ی مذاس تاط خش جی چمذس اػت فشض و ي خش جی تشاتش VDD تاؿذ. دس ای حا ت چ V DD VGS=0<Vt اػت تشا ضیؼت س لطغ ی ؿ د. V DD پغ تشای آ ى تشا ضیؼت س س ؿ ت ا ذ حذاوثش تاط خش جی آ تشاتش VDD-Vt خ ا ذ ؿذ. ت ي د ي ی یي تشا ضیؼت س nmos مذاس طمی 1 سا خ ب ػث س ی د ذ.

4 هثال V DD V DD V s = V DD -V tn V DD V DD V DD V DD V DD -V tn V DD -V tn V DD -V tn V s = V tp V DD V DD -V tn V DD V DD -2V tn V SS

5 ار گز ایستا مذ خص ا تما ی سفتاس ایؼتا سفتاس پ یا تح ي ت ا ا شطی طشاحی تشای صشف و

6 هقذه : ار گز ایذ ال

7 هشخص اقعي تز ار گز

8 یادآ ري حاشی یش

9 پیاد ساسي تا ه طق CMOS ایستا V DD دس طك CMOS ایؼتا خش جی اس یه ؼيش ما تی ت VDD یا ص ي داسد. V in V out اس ش پای ذاس ای طمی تشويثی CMOS ایؼتا اػت. C L

10 آ الیش هزتث ا ل حالت ایستا V DD V DD V OL = 0 V OH = V DD R p V out = 1 V out = 0 R n V in = 0 V in = V DD

11 یضگی اي CMOS Full rail-to-rail swing high noise margins Logic levels not dependent upon the relative device sizes transistors can be minimum size ratioless Always a path to V dd or GND in steady state low output impedance (output resistance in k range) large fan-out (albeit with degraded performance) Extremely high input resistance (gate of MOS transistor is near perfect insulator) nearly zero steady-state input current No direct path steady-state between power and ground no static power dissipation Propagation delay function of load capacitance and resistance of transistors

12 ار گز ایستا مذ خص ا تما ی سفتاس ایؼتا سفتاس پ یا تح ي ت ا ا شطی طشاحی تشای صشف و

13 تحلیل عولکزد ار گز DC Response: V out vs. V in for a gate Ex: Inverter When V in = 0 -> V out = V DD When V in = V DD -> V out = 0 In between, V out depends on transistor size and current By KCL, must settle such that I dsn = I dsp We could solve equations But graphical solution gives more insight V in V DD I dsp I dsn V out

14 احی عولکزد تزا شیست ر ا دا ا ص ؼتی ا يشوثيش Current depends on region of transistor behavior For what V in and V out are nmos and pmos in Cutoff? Linear? Saturation?

15 دا ا ص ؼتی ا يشوثيش nmos Operation Cutoff Linear Saturated V gsn < V tn V in < V tn V gsn > V tn V in > V tn V dsn < V gsn V tn V out < V in - V tn V gsn > V tn V in > V tn V dsn > V gsn V tn V out > V in - V tn V DD V gsn = V in V dsn = V out V in I dsp I dsn V out

16 دا ا ص ؼتی ا يشوثيش pmos Operation Cutoff Linear Saturated V gsp > V tp V in > V DD + V tp V gsp < V tp V in < V DD + V tp V dsp > V gsp V tp V out > V in - V tp V gsp < V tp V in < V DD + V tp V dsp < V gsp V tp V out < V in - V tp V DD V gsp = V in - V DD V dsp = V out - V DD V tp < 0 V in I dsp I dsn V out

17 (NMOS) Review: Short Channel I-V Plot دا ا ص ؼتی ا يشوثيش X 10-4 V GS = 2.5V V GS = 2.0V V GS = 1.5V 0.5 V GS = 1.0V V DS (V) NMOS transistor, 0.25um, L d = 0.25um, W/L = 1.5, V DD = 2.5V, V T = 0.4V

18 (PMOS) Review: Short Channel I-V Plot دا ا ص ؼتی ا يشوثيش All polarities of all voltages and currents are reversed -2 V DS (V) V GS = -1.0V -0.2 V GS = -1.5V -0.4 V GS = -2.0V V GS = -2.5V -1 PMOS transistor, 0.25um, L d = 0.25um, W/L = 1.5, V DD = 2.5V, V T = -0.4V X 10-4

19 هشخص جزیاى لتاص تزا شیست ر ا در یک هح ر دا ا ص ؼتی ا يشوثيش Make pmos is wider than nmos such that b n = b p V gsn5 I dsn V gsn4 -V dsp V gsn3 V gsp1 V gsp2 -V DD 0 V DD V gsn2 V gsn1 V gsp3 V dsn V gsp4 -I dsp V gsp5

20 دا ا ص ؼتی ا يشوثيش تثذیل هختصات هشخص pmos I-V Want common coordinate set V in, V out, and I Dn I DSp = -I DSn V GSn = V in ; V GSp = V in - V DD V DSn = V out ; V DSp = V out - V DD I Dn Vout V in = 0 V in = 1.5 V in = 0 V in = 1.5 V GSp = -1 V GSp = -2.5 Mirror around x-axis V in = V DD + V GSp I Dn = -I Dp Horiz. shift over V DD V out = V DD + V DSp

21 دا ا ص ؼتی ا يشوثيش CMOS Inverter Load Lines PMOS V in = 0V X 10-4 NMOS V in = 2.5V V in = 0.5V 1.5 V in = 2.0V V in = 1.0V 1 V in = 2V 0.5 V in = 1.5V V in = 2.0V 0 V in = 2.5V V in = 1.5V V in = 1V V out (V) V in = 1.5V V in = 0.5V V in = 1.0V V in = 0.5V V in = 0V 0.25um, W/L n = 1.5, W/L p = 4.5, V DD = 2.5V, V Tn = 0.4V, V Tp = -0.4V

22 V out (V) دا ا ص ؼتی ا يشوثيش CMOS Inverter VTC NMOS off PMOS res NMOS sat PMOS res V in (V) NMOS sat PMOS sat NMOS res PMOS sat NMOS res PMOS off

23 Operating Regions Revisit transistor operating regions V DD V in V out Region nmos pmos A Cutoff Linear B Saturation Linear C Saturation Saturation D Linear Saturation E Linear Cutoff V out V DD A B 0 C D E V tn V DD /2 V DD +V tp V in V DD

24 نواحي كار وارونگر با ساختار متقارن k V ' n tn k ' p V tp

25 ار گز ایستا مذ خص ا تما ی سفتاس ایؼتا سفتاس پ یا تح ي ت ا ا شطی طشاحی تشای صشف و

26 ذف ذف اص تح ي ایؼتایی تح ي پاسا تش ای اػتحىا اس ش ظيش حاؿث یض تشسػی اثش تغييش پاسا تش ای اتؼادی س ی خص ا تما ی تشا ضیؼت س ی تاؿذ. ؼ ال ی خ ا ي حاؿي یض تيي خص ا تما ی تماس داؿت تاؿي

27 تحلیل رفتار ایستایي )Vin=Vout ( 1- تحلیل نقطه آستانه سوییچینگ VM V out NMOS off PMOS res NMOS sat PMOS res NMOS sat PMOS sat NMOS res PMOS sat فشض ی و ي ش د تشا ضیؼت س وا ا و تا دس احي اؿثاع ػشػت ؼت ذ. NMOS res PMOS off V in

28 تحلیل آستا س ییچی گ ا ش فشض و ي مذاس VDD ؼثت ت تاط آػتا تاط اؿثاع ػشػت تشا ضیؼت س ا تحذ وافی تضسي تاؿذ. تيا ش لذست دسای ؼثی pmos ؼثت ت nmos اػت. ا ش pmos ل یتش تاؿذ R VM اص VDD/2 صیادتش ی ؿ د ا ش NMOS ل یتش تاؿذ و تش ی ؿ د.

29 شزط تقارى V M = V DD /2 (to have comparable high and low noise margins), so want r 1

30 هثال آستا س ییچی گ دا ا ص ؼتی ا يشوثيش In our generic 0.25 micron CMOS process, using the process parameters from slide L03.25, a V DD = 2.5V, and a minimum size NMOS device ((W/L) n of 1.5) V T0 (V) (V 0.5 ) V DSAT (V) k (A/V 2 ) (V -1 ) NMOS x PMOS x (W/L) p 115 x ( /2) = x x = 3.5 (W/L) n -30 x ( /2) (W/L) p = 3.5 x 1.5 = 5.25 for a V M of 1.25V

31 شبيه سازی بستگي آستانه سویيچ كردن به ابعاد دا ا ص ؼتی ا يشوثيش ~ (W/L) p /(W/L) n Note: x-axis is semilog V M is relatively insensitive to variations in device ratio setting the ratio to 3, 2.5 and 2 gives V M s of 1.22V, 1.18V, and 1.13V Increasing the width of the PMOS moves V M towards V DD Increasing the width of the NMOS moves V M toward GND

32 تحلیل رفتار ایستایي 3 V OH = V DD 2 1 V M 2- تحلیل حاشیه نویش By definition, V IH and V IL are where dv out /dv in = -1 (= gain) NM H = V DD - V IH NM L = V IL - GND Approximating: V IH = V M - V M /g V IL = V M + (V DD - V M )/g V OL = GND 0 VIL V in A piece-wise linear approximation of VTC VIH So high gain in the transition region is very desirable

33 تحلیل تا تقزیة محاسثه گین در نقطه VM تا مشتك گزفتن اس معادله فوق نسثت ته Vin

34 تحلیل تا تقزیة تا جایگذاری V1 in تؼت ی ؿذیذ ت پاسا تش ای تى طی ظيش ضشیة ذ الػي ط وا ا تاط آػتا تاط اؿثاع داسد. مؾ طشاح ا تخاب V M تا ػایض ت ذی اػة تشا ضیؼت س ا اػت.

35 V out (V) هثال هحاسث حاشی یش تا استفاد اس گیي دا ا ص ؼتی ا يشوثيش um, (W/L) p /(W/L) n = 3.4 (W/L) n = 1.5 (min size) V DD = 2.5V V M 1.25V, g = V in (V) V IL = 1.2V, V IH = 1.3V NM L = NM H = 1.2 (actual values are V IL = 1.03V, V IH = 1.45V NM L = 1.03V & NM H = 1.05V)

36 V out (V) Impact of Process Variation on VTC Curve دا ا ص ؼتی ا يشوثيش Good PMOS Bad NMOS Bad PMOS Good NMOS Nominal V in (V) Process variations (mostly) cause a shift in the switching threshold

37 V out (V) V out (V) دا ا ص ؼتی ا يشوثيش Scaling the Supply Voltage V in (V) Device threshold voltages are kept (virtually) constant Gain= V in (V) Device threshold voltages are kept (virtually) constant

38 ار گز ایستا مذ خص ا تما ی سفتاس ایؼتا سفتاس پ یا تح ي ت ا ا شطی طشاحی تشای صشف و

39 تحلیل رفتار س ییچی گ دا ا ص ؼتی ا يشوثيش V DD V DD R p V out V out C L R n C L V in = 0 V in = V DD Gate response time is determined by the time to charge C L through R p (discharge C L through R n )

40 تحلیل تاخیز ار گز دا ا ص ؼتی ا يشوثيش Propagation delay is proportional to the time-constant of the network formed by the pull-down resistor and the load capacitance V DD t phl = f(r n, C L ) R n C L V out = 0 t phl = ln(2) R eqn C L = 0.69 R eqn C L t plh = ln(2) R eqp C L = 0.69 R eqp C L V in = V DD t p = (t phl + t plh )/2 = 0.69 C L (R eqn + R eqp )/2 To equalize rise and fall times make the on-resistance of the NMOS and PMOS approximately equal.

41 مولفه های خازن دا ا ص ؼتی ا يشوثيش

42 خازن گیت درین دا ا ص ؼتی ا يشوثيش چ تشا ضیؼت س M1 دس تاط ای س دی VDD/2 > 0 فمط دس احي لطغ یا اؿثاع اػت فمط خاص Overlap داسی و آ تا اػتفاد اص اثش ي ش ی ت ا تي دسی ص ي لشاس داد.

43 خازن درین بدنه دا ا ص ؼتی ا يشوثيش 2 -تشای د تشا ضیؼت س M2 M1 خاص تي دسی تا ص ي ج د داسد و غيش خطی اػت ی ت ا یه خاص ؼاد تا خطی ػاصی تمشیة دس ظش شفت. مذاس ای خاص تؼت ی ت ػطح حيط جا ثی پي ذ دسی تذ داسد. 3 -خاص س دی طثم تؼذ و تاس Fanout ای طثم حؼ ب ی ؿ د. 4- خاص اتصاالت يا ی CW

44 دا ا ص ؼتی ا يشوثيش هثال هحاسث خاسى اي د ار گز cascade تى طی 0.25 µm =0.125 µm تشای تشا ضیؼت س NMOS Ad=4x4 2 +3x1 2 Pd=( ) تشای تشا ضیؼت س PMOS Ad=9x4 2 +9x1 2 Pd=(5+9+5)

45 ادامه مثال دا ا ص ؼتی ا يشوثيش

46 هقذار هقا هت گام پزشذى )خالي شذى( خاسى دا ا ص ؼتی ا يشوثيش تا توجه ته اینکه تاخیز انتشار تا رسیدن ته %50 مقدار نهایی تعزیف می شود. 7 x S V GS V T R o n D اس مدل تزانشستور ته عنوان یک سوییچ تا تقزیة معادله سیز استفاده می کنیم (for V GS = V DD, V DS = V DD V DD /2) V DD (V) R eqn = 3/4 V DD /I DSATn

47 هحاسث تاخیز ا تشار تزاي ار گز د طثق قثلي دا ا ص ؼتی ا يشوثيش تا دس ظش شفت ما ت ای on تشا ضیؼت س ای pmosتاا nmos حاذال اتؼااد ؼاد ( 31 KΩ 13 KΩ تاا ت جا تا ای ىا ػاشض تشا ضیؼا ت س اای nmos pmos ف ق تتشتية تشاتش حذال اتؼاد ؼت ذ. ماذاس ما ات اای آ اا تاا تمؼي ما ت تشا ضیؼت س شجغ ت ضشایة ف ق تذػت ی آیذ زا داسی.

48 دا ا ص ؼتی ا يشوثيش Inverter Transient Response V in V DD =2.5V 0.25m W/L n = 1.5 W/L p = 4.5 R eqn = 13 k ( 1.5) R eqp = 31 k ( 4.5) f t r t phl t plh t t (sec) From simulation: t phl = 39.9 psec and x t phl = 36 psec t plh = 29 psec so t p = 32.5 psec t plh = 31.7 psec

49 پاراهتز اي ه ثز ر ي تاخیز ا تشار ار گز دا ا ص ؼتی ا يشوثيش t phl = 0.69 R eqn C L = 0.69 (3/4 (C L V DD )/I DSATn ) 0.52 C L / (W/L n k n V DSATn ) تا جای ضاسی ما ت ؼاد تمشیثی V DD (V)

50 پاراهتز اي ه ثز در سزعت دا ا ص ؼتی ا يشوثيش Reduce C L internal diffusion capacitance of the gate itself - keep the drain diffusion as small as possible interconnect capacitance Fanout (Cg Next Level)

51 پاراهتز اي ه ثز در سزعت دا ا ص ؼتی ا يشوثيش Increase W/L ratio of the transistor the most powerful and effective performance optimization tool in the hands of the designer watch out for self-loading! when the intrinsic capacitance dominates the extrinsic load Increase V DD can trade-off energy for performance increasing V DD above a certain level yields only very minimal improvements reliability concerns enforce a firm upper bound on V DD

52 دا ا ص ؼتی ا يشوثيش سثت NMOS/PMOS So far have sized the PMOS and NMOS so that the R eq s match (ratio of 3 to 3.5) symmetrical VTC equal high-to-low and low-to-high propagation delays If speed is the only concern, reduce the width of the PMOS device! widening the PMOS degrades the t phl due to larger parasitic capacitance b = (W/L p )/(W/L n ) r = R eqp /R eqn (resistance ratio of identically-sized PMOS and NMOS) b opt = r when wiring capacitance is negligible

53 دا ا ص ؼتی ا يشوثيش PMOS/NMOS Ratio Effects 5 x t plh t phl t p b of 2.4 (= 31 k/13 k) gives symmetrical response 3.5 b of 1.6 to 1.9 gives optimal performance b = (W/L p )/(W/L n )

54 دا ا ص ؼتی ا يشوثيش Device Sizing for Performance Divide capacitive load, C L, into C int : intrinsic - diffusion and Miller effect C ext : extrinsic - wiring and fanout t p = 0.69 R eq C int (1 + C ext /C int ) = t p0 (1 + C ext /C int ) where t p0 = 0.69 R eq C int is the intrinsic (unloaded) delay of the gate Widening both PMOS and NMOS by a factor S reduces R eq by an identical factor (R eq = R ref /S), but raises the intrinsic capacitance by the same factor (C int = SC iref ) t p = 0.69 R ref C iref (1 + C ext /(SC iref )) = t p0 (1 + C ext /(SC iref )) t p0 is independent of the sizing of the gate; with no load the drive of the gate is totally offset by the increased capacitance any S sufficiently larger than (C ext /C int ) yields the best performance gains with least area impact

55 دا ا ص ؼتی ا يشوثيش Sizing Impacts on Delay x for a fixed load S The majority of the improvement is already obtained for S = 5. Sizing factors larger than 10 barely yield any extra gain (and cost significantly more area). self-loading effect (intrinsic capacitance dominates)

56 دا ا ص ؼتی ا يشوثيش Impact of Fanout on Delay Extrinsic capacitance, C ext, is a function of the fanout of the gate - the larger the fanout, the larger the external load. First determine the input loading effect of the inverter. Both C g and C int are proportional to the gate sizing, so C int = C g is independent of gate sizing and t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/) i.e., the delay of an inverter is a function of the ratio between its external load capacitance and its input gate capacitance: the effective fan-out f f = C ext /C g

57 س جیز ار گز ا دا ا ص ؼتی ا يشوثيش حذال وشد تاخيش تا ا تخاب اػة تؼذاد ت ي اتؼاد ت ي اس ش ا In C g,1 1 2 N C L Out the delay of the j-th inverter stage is t p,j = t p0 (1 + C g,j+1 /(C g,j )) = t p0 (1 + f j / ) and t p = t p1 + t p t pn t p = t p,j = t p0 (1 + C g,j+1 /(C g,j ))

58 دا ا ص ؼتی ا يشوثيش Sizing the Inverters in the Chain ی ت ا ا داد حذال يضا تاخيش لتی اتفاق ی افتذ و ت ػثاست دی ش افضایؾ اتؼاد طثمات ؼثت ت یىذی ش تا ؼثت ثاتتی اتفاق تيافتذ و دس آ ضشیة ت اػة ا f اػت اص ساتط صیش تذػت ی آیذ. و دس آ F ؼثت خاص تاس خش جی ت خاص س دی طثم ا اػت. (F = C L /C g,1 ) ت اتشای حذال تاخيش اص ساتط صیش تذػت ی آیذ. تؼذاد طثمات اػت ت اتشای ی ت ا N اػة سا ا تخاب د تا تاخيش ت ي ؿ د. N

59 دا ا ص ؼتی ا يشوثيش Example of Inverter Chain Sizing In Out C g,1 1 f = 2 f 2 = 4 C L = 8 C g,1 C L /C g,1 has to be evenly distributed over N = 3 inverters C L /C g,1 = 8/1 f = 3 8 = 2

60 ا تخاب تعذاد طثقات ت ی N دا ا ص ؼتی ا يشوثيش ا ش تؼذاد طثمات سا صیاد و ي تذ ي تاخيش راتی و دس N ضشب ی ؿ د تاخيش صیاد ی ؿ د ا ش تؼذاد طثمات سا و ت يشی تاخيش اؿی اص Fanout غا ة ی ؿ د. مذاس ت ي اص ح ؼاد صیش تذػت ی آیذ. + F - ( F lnf)/n = 0 For = 0 N = ln (F) and the effective-fan out becomes f = e = For = 1 (the typical case) the optimum effective fan-out (tapering factor) turns out to be close to 3.6

61 دا ا ص ؼتی ا يشوثيش Optimum Effective Fan-Out Choosing f larger than optimum has little effect on delay and reduces the number of stages (and area). Common practice to use f = 4 (for = 1) But too many stages has a substantial negative impact on delay f

62 دا ا ص ؼتی ا يشوثيش Example of Inverter (Buffer) Staging 1 C g,1 = 1 C L = 64 C g,1 N f t p C g,1 = 1 C L = 64 C g, C g,1 = 1 C L = 64 C g, C g,1 = 1 C L = 64 C g,1

63 Impact of Buffer Staging for Large C L F ( = 1) Unbuffered Two Stage Chain Opt. Inverter Chain , ,000 10, Impressive speed-ups with optimized cascaded inverter chain for very large capacitive loads.

64 مثال : تاخير بهينه

65 دا ا ص ؼتی ا يشوثيش Input Signal Rise/Fall Time In reality, the input signal changes gradually (and both PMOS and NMOS conduct for a brief time). This affects the current available for charging/discharging C L and impacts propagation delay. x t p increases linearly with increasing input slope, t s, once t s > t p t s is due to the limited driving capability of the preceding gate t s (sec) for a minimum-size inverter with a fan-out of a single gate x 10-11

66 ار گز ایستا مذ خص ا تما ی سفتاس ایؼتا سفتاس پ یا تح ي ت ا ا شطی طشاحی تشای صشف و

67 ه لف اي تلفات تلفات دینامیکی : در اثز سوییچینگ و مصزف انزصی تزای شارص و دشارص خاسن تار V out NMOS off PMOS res NMOS sat PMOS res NMOS sat PMOS sat NMOS res PMOS sat NMOS res PMOS off تلفات ناشی اس مسیز جزیان مستقیم تلفات استاتیک )جزیان نشتی و سیز آستانه( V in

68 تلفات دی اهیکي ػاختاس اس ش CMOS اػتاتيه ت ای اػت و ت فات ت ا اػتاتيه دس آ اچيض اػت ػ ذ ت فات دس حا ت تغييش ضؼيت اص 0 ت 1 یا تش ػىغ اتفاق ی افتذ. ش تاس و خاص تاس اص ؼيش pmos ؿاسط ی ؿ د تاط آ اص 0 ت VDD ی سػذ. ا شطی صشف ؿذ ت ػط ثغ VDD ا شطی رخيش ؿذ دس خاص سا ی ت ا تا س اتط صیش تتشتية ا داد. فمط ي ی اص ا شطی شفت ؿذ اص ثغ دس خاص تاس رخيش ی ؿ د ي دی ش آ دس تشا ضیؼت س pmos ت ف ی ؿ د. يضا ت فات تؼتىی ت اتؼاد ) ما ت( تشا ضیؼت س pmos ذاسد. دس ػيى دؿاسط ا شطی رخيش ؿذ دس C L ی ؿ د. خاص دس تشا ضیؼت س nmos ت ف ی ؿ د. ت اتش ای ا شطی ت ف ؿذ دس ش ػيى تشاتش VDD 2

69 دا ا ص ؼتی ا يشوثيش Dynamic Power Consumption Vdd Vin Vout C L Energy/transition = C L * V DD 2 * P 01 f 01 P dyn = Energy/transition * f = C L * V DD 2 * P 01 * f P dyn = C EFF * V DD 2 * f where C EFF = P 01 C L Not a function of transistor sizes! Data dependent - a function of switching activity!

70 طزاحي تزاي هصزف کن تا ت ج ت ؼاد ت ا دی ا يىی 3 ػا دس وا ؾ ت ا ثش ؼت ذ وا ؾ تاظ تغزی : چ ت ا صشفی تا ت ا د تاط ت اػة اػت ای ػا ی اػت تا واا ؾ تااظ تاخيش يت صیاد ی ؿ د. ت اتشای یه trade off تي ت ا تاخيش ج د داسد وا ؾ خاص تاس: چ وا ؾ خاص ثش ت فات تاخيش سا وا ؾ ی د ذ وا ؾ آ ط ب اػت. ا ا دس ػ چ تخؾ ػ ذ ای اص خاص تاس خاص ای داخ ی تشا ضیؼت س ث خاص يت ف ر ؼات ذ واا ؾ خاص ا ت ؼ ی و چه ػاصی تشا ضیؼت س اػت و ت ت خ د چ ما ت ؼاد آ ا سا افضایؾ ی د ذ جش ت افضایؾ تاخيش ی ؿ د. وا ؾ احت ا ػ یيچي آتی ت آ اؿاس ی ؿ د ای ض ع سا تا ؼ اسی اػة ذاس تا حذی ی ت ا ا جا داد دس فص اای وا ؾ فشوا غ: ؼ ال تش خالف ي طشاح اػت. ) يت وشد والن ػي ا ای غيش فؼا ( دس ػ تشويثی اص وا ؾ تا ظ ت غزی ا تخااب اػاة ات ؼااد يات ج ات ت ي ا ػااصی تا ا ض اا ج يشی اص افضایؾ تاخيش تيؾ اص حذ ط ب سد اػتفاد لشاس ی يشد. دس ؿثى ای تضسي ت ج ت اتؼاد ثش وا ؾ تاط تغزی ی ت ا ذ صشف ت ا سا تا 10 تشاتش وا ؾ د ذ.

71 دا ا ص ؼتی ا يشوثيش Lowering Dynamic Power Capacitance: Function of fan-out, wire length, transistor sizes Supply Voltage: Has been dropping with successive generations P dyn = C L V DD 2 P 01 f Activity factor: How often, on average, do wires switch? Clock frequency: Increasing

72 تلفات اشي اس هسیز جزیاى هستقین دس حا ت زسا چ ؿية ػي ا س دی حذ د اػت تشای ذت و ی یه ؼيش جشیا ؼتمي تي VDD ص ي ایجاد ی ؿ د. E sc = t sc V DD I peak P 01 P sc = t sc V DD I peak f 01

73 جزیاى پیک اتصال ک تا I peak determined by the saturation current of the P and N transistors which depend on their sizes, process technology, temperature, etc. strong function of the ratio between input and output slopes a function of C L

74 اثز خاسى تار ر ي تلفات هسیز جزیاى هستقین دس حا تيى تاخيش يت صیاد تاؿذ لتی ػي ا س دی تغييش ی و ذ تاط خش جی ص تاالػت زا اختالف پتا ؼي ػ سع دسی pmos اچيض اػت جشیا اتصا و تا اچيض خ ا ذ ت د. دس ػ ض ا ش خاص تاس و چه تاؿذ تاخيش يت و تاؿذ. تاط دسی ػ سع ػشیغ تغييش ی و ذ زا جشیا اتصا و تا صیاد خ ا ذ ت د Large capacitive load Output fall time significantly larger than input rise time. Small capacitive load Output fall time substantially smaller than the input rise time.

75 جزیاى اتصال ک تا تز حسة خاسى تار When load capacitance is small, I peak is large. Short circuit dissipation is minimized by matching the rise/fall times of the input and output signals - slope engineering.

76 دا ا ص ؼتی ا يشوثيش P sc as a Function of Rise/Fall Times V DD = 3.3 V V DD = 2.5 V V DD = 1.5V t sin /t sou When load capacitance is small (t sin /t sout > 2 for V DD > 2V) the power is dominated by P sc If V DD < V Tn + V Tp then P sc is eliminated since both devices are never on at the same time. W/L p = m/0.25 m W/L n = m/0.25 m C L = 30 ff

77 تلفات استاتیک دس حا ت اػتاتيه ت د ي ج د جشیا تی پي ذ ای تایاع ؼى ع دسی ػ سع تا تذ ت فات ایؼتایی داسی. دس ػ ای ت فات اچيض اػت دس حذ pa/m 2 اػت. ا ا ای جشیا تی تا افضایؾ د ا تص ست ایی صیاد ی ؿ د ت ػ ا دس د ای 85 C حذ د 60 تشاتش د ای اطاق ی ؿ د. ي ط س ت فات اؿی اص جشیا صیش آػتا تشای تشا ضیؼت س ا دس حا ت خا ؽ ج د داسد.

78 Standby Power Why worry about power? -- Standby Power Drain leakage will increase as V T decreases to maintain noise margins and meet frequency demands, leading to excessive battery draining standby power consumption. 50% Year Power supply V dd (V) Threshold V T (V) KW and phones leaky! 40% 1.7KW 30% 400W 20% 10% 12W 88W 0% Source: Borkar, De Intel

79 دا ا ص ؼتی ا يشوثيش Leakage as a Function of V T Continued scaling of supply voltage and the subsequent scaling of threshold voltage will make subthreshold conduction a dominate component of power dissipation ID (A) 10-7 VT=0.4V VT=0.1V An 90mV/decade V T roll-off - so each 255mV increase in V T gives 3 orders of magnitude reduction in leakage (but adversely affects performance) VGS (V)

80 TSMC Processes Leakage and V T CL018 G CL018 LP CL018 ULP CL018 HS CL015 HS CL013 HS V dd 1.8 V 1.8 V 1.8 V 2 V 1.5 V 1.2 V T ox (effective) 42 Å 42 Å 42 Å 42 Å 29 Å 24 Å L gate 0.16 m 0.16 m 0.18 m 0.13 m 0.11 m 0.08 m I DSat (n/p) (A/m) 600/ / / / / /400 I off (leakage) (A/m) ,800 13,000 V Tn 0.42 V 0.63 V 0.73 V 0.40 V 0.29 V 0.25 V FET Perf. (GHz)

81 I leakage (na/m) Exponential Increase in Leakage Currents دا ا ص ؼتی ا يشوثيش Temp(C) From De,1999

82 دا ا ص ؼتی ا يشوثيش Energy & Power Equations E = C L V DD 2 P 01 + t sc V DD I peak P 01 + V DD I leakage f 01 = P 01 * f clock P = C L V DD 2 f 01 + t sc V DD I peak f 01 + V DD I leakage Dynamic power (~90% today and decreasing relatively) Short-circuit power (~8% today and decreasing absolutely) Leakage power (~2% today and increasing)

83 کل تلفات حاصلضزب توان در تاخیز و انزصی درتاخیز پاسا تش PDP و حاص ضشب ت ا دس تاخيش اػت. ای پاسا تش ؼياسی اص يضا ا شطی صشفی ی تاؿذ. ا ش اص ت فات اػتاتيه ت فات ؼيش جشیا ؼتمي صشف ظش و ي ی ت ا دیذ PDP ؼاد ا شطی صشفی يت تاصای یه ػ یيچي ی تاؿذ. پاسا تش لات ت ج دی ش حاص ضشب ا شظی دس تاخيش ی تاؿذ و ؼياسی اص ػشػت ا شطی يت اػت.

84 هثال: لتاص تغذی ت ی حاػث تاط ت ي تشای حذال EDP وشد EDP is the average energy consumed multiplied by the computation time required takes into account that one can trade increased delay for lower energy/operation (e.g., via supply voltage scaling that increases delay, but decreases energy consumption) Energy-Delay (normalized) energy-delay 5 energy 0 delay Vdd (V)

85 دا ا ص ؼتی ا يشوثيش Understanding Tradeoffs Which design is the best (fastest, coolest, both)? b Lower EDP c a d 1/Delay better

86 دا ا ص ؼتی ا يشوثيش Power and Energy Design Space Constant Throughput/Latency Variable Throughput/Latency Energy Design Time Non-active Modules Run Time Logic Design DFS, DVS Active Reduced V dd Sizing Multi-V dd Clock Gating Leakage + Multi-V T Multi-V dd Sleep Transistors (Dynamic Freq, Voltage Scaling) + Variable V T Variable V T

87 دا ا ص ؼتی ا يشوثيش Sizing In Out C g1 1 f C ext Goal: Minimize Energy of whole circuit Design parameters: f and V DD tp tpref of circuit with f=1 and V DD =V ref t t p p0 f t p0 1 1 VDD V V DD TE F f

88 دا ا ص ؼتی ا يشوثيش Transistor Sizing Performance Constraint (=1) t t p pref t t p0 p0ref 2 f F f V DD V V 2 3 F V V V 3 F ref ref DD TE TE f F f 1 Energy for single Transition E V E E ref 2 DD C V V g1 DD ref 1 1 f f F 4 F F

89 دا ا ص ؼتی ا يشوثيش Transistor Sizing V DD =f(f) E/E ref =f(f) F=1 vdd (V) F= normalized energy f f

90 normalized energy دا ا ص ؼتی ا يشوثيش Dynamic Power as a Function of Device Size Device sizing affects dynamic energy consumption gain is largest for networks with large overall effective fanouts (F = C L /C g,1 ) The optimal gate sizing factor (f) for dynamic energy is smaller than the one for performance, especially for large F s e.g., for F=20, f opt (energy) = 3.53 while f opt (performance) = 4.47 If energy is a concern avoid oversizing beyond the 0 optimal F=1 F=2 f F=5 F=10 F=20

91 Multi VDD دا ا ص ؼتی ا يشوثيش Prime choice: Reduce voltage! Recent years have seen an acceleration in supply voltage reduction (USE Multi VDD) Reduce switching activity (How?) Reduce physical capacitance Device Sizing: for F=20 - f opt (energy)=3.53, f opt (performance)=4.47

92 دا ا ص ؼتی ا يشوثيش Dynamic Power as a Function of V DD Decreasing the V DD decreases dynamic energy consumption (quadratically) But, increases gate delay (decreases performance) V DD (V) Determine the critical path(s) at design time and use high V DD for the transistors on those paths for speed. Use a lower V DD on the other gates, especially those that drive large capacitances (as this yields the largest energy benefits). 1

93 Multi V T Reducing the V T increases the subthreshold leakage current (exponentially) 90mV reduction in V T increases leakage by an order of magnitude ID (A) But, reducing V T decreases gate delay (increases performance) VGS (V) VT=0.4V VT=0.1V Determine the critical path(s) at design time and use low V T devices on the transistors on those paths for speed. Use a high V T on the other logic for leakage control. A careful assignment of V T s can reduce the leakage by as much as 80%

94 دا ا ص ؼتی ا يشوثيش Dual-Thresholds Inside a Logic Block Minimum energy consumption is achieved if all logic paths are critical (have the same delay) Use lower threshold on timing-critical paths Assignment can be done on a per gate or transistor basis;

95 Variable V T (ABB) V T = V T0 + ( -2 F + V SB - -2 F ) For an n-channel device, the substrate is normally tied to ground (V SB = 0) A negative bias on V SB causes V T to increase Adjusting the substrate bias at run time is called adaptive body-biasing (ABB) Requires a dual well fab process V SB (V)

96 دا ا ص ؼتی ا يشوثيش Logic Design Optimization Switching activity, P 01, has two components A static component function of the logic topology A dynamic component function of the timing behavior (glitching) 2-input NOR Gate A B Out Static transition probability P 01 = P out=0 x P out=1 = P 0 x (1-P 0 ) With input signal probabilities P A=1 = 1/2 P B=1 = 1/2 NOR static transition probability = 3/4 x 1/4 = 3/16

97 دا ا ص ؼتی ا يشوثيش NOR Gate Transition Probabilities Switching activity is a strong function of the input signal statistics P A and P B are the probabilities that inputs A and B are one A B With input signal probabilities P A=1 = 1/2 P B=1 = 1/2 A B Out A B C L P 01 = P 0 x P 1 = (1-(1-P A )(1-P B )) (1-P A )(1-P B )

98 Transition Probabilities for Some Basic Gates P 01 = P out=0 x P out=1 NOR (1 - (1 - P A )(1 - P B )) x (1 - P A )(1 - P B ) OR (1 - P A )(1 - P B ) x (1 - (1 - P A )(1 - P B )) NAND P A P B x (1 - P A P B ) AND (1 - P A P B ) x P A P B XOR (1 - (P A + P B - 2P A P B )) x (P A + P B - 2P A P B ) For X: P 01 = P 0 x P 1 = (1-P A ) P A A B X Z = 0.5 x 0.5 = 0.25 For Z: P 01 = P 0 x P 1 = (1-P X P B ) P X P B = (1 (0.5 x 0.5)) x (0.5 x 0.5) = 3/16

99 دا ا ص ؼتی ا يشوثيش Inter-signal Correlations Determining switching activity is complicated by the fact that signals exhibit correlation in space and time reconvergent fan-out A B (1-0.5)(1-0.5)x(1-(1-0.5)(1-0.5)) = 3/16 P(X=1)=3/4, P(X=0)=1/4 X Z غلط Reconvergent (1-3/4 x 0.5) x (3/4 x 0.5) = 15/64 P(Z=1) = P(B=1) & P(A=1 OR B=1) Z=(A+B)B=AB+B=B(1+A)=B P(Z=1)=1/2 P(0>1)=1/4 Have to use conditional probabilities

100 دا ا ص ؼتی ا يشوثيش Logic Restructuring Logic restructuring: changing the topology of a logic network to reduce transitions 0.5 A B 0.5 AND: P 01 = P 0 x P 1 = (1 - P A P B ) x P A P B (1-0.25)*0.25 = 3/16, P(W=1) = 1/4 W 7/64, P(X=1)=1/8 X 15/256 C 0.5 D F A 0.5 B 0.5 C 0.5 D =0.355 = /16, Y Z 3/16, 15/256 F Chain implementation has a lower overall switching activity than the tree implementation for random inputs

101 دا ا ص ؼتی ا يشوثيش Input Ordering 0.5 A B 0.2 (1-0.5x0.2)x(0.5x0.2)=0.09 X C F 0.1 (1-0.1x0.1)x(0.1x0.1)= B C 0.1 (1-0.2x0.1)x(0.2x0.1)= X A F 0.5 (1-0.02x0.5)x(0.02x0.5)=0.01 =0.1 = Beneficial to postpone the introduction of signals with a high transition rate (signals with signal probability close to 0.5)

102 جلس آی ذ دا ا ص ؼتی ا يشوثيش دسع تؼذی يت ای تشويثی

The CMOS Inverter: A First Glance

The CMOS Inverter: A First Glance The CMOS Inverter: A First Glance V DD S D V in V out C L D S CMOS Inverter N Well V DD V DD PMOS 2λ PMOS Contacts In Out In Out Metal 1 NMOS Polysilicon NMOS GND CMOS Inverter: Steady State Response V

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view) CMPEN 411 VLSI Digital Circuits Lecture 04: CMOS Inverter (static view) Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN

More information

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view) ENGR89 Digital VLSI Design Fall 5 Lecture 4: CMOS Inverter (static view) [Adapted from Chapter 5 of Digital Integrated Circuits, 3, J. Rabaey et al.] [Also borrowed from Vijay Narayanan and Mary Jane Irwin]

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

CMOS Inverter (static view)

CMOS Inverter (static view) Review: Design Abstraction Levels SYSTEM CMOS Inverter (static view) + MODULE GATE [Adapted from Chapter 5. 5.3 CIRCUIT of G DEVICE Rabaey s Digital Integrated Circuits,, J. Rabaey et al.] S D Review:

More information

Chapter 5. The Inverter. V1. April 10, 03 V1.1 April 25, 03 V2.1 Nov Inverter

Chapter 5. The Inverter. V1. April 10, 03 V1.1 April 25, 03 V2.1 Nov Inverter Chapter 5 The Inverter V1. April 10, 03 V1.1 April 25, 03 V2.1 Nov.12 03 Objective of This Chapter Use Inverter to know basic CMOS Circuits Operations Watch for performance Index such as Speed (Delay calculation)

More information

COMP 103. Lecture 10. Inverter Dynamics: The Quest for Performance. Section 5.4.2, What is this lecture+ about? PERFORMANCE

COMP 103. Lecture 10. Inverter Dynamics: The Quest for Performance. Section 5.4.2, What is this lecture+ about? PERFORMANCE COMP 103 Lecture 10 Inverter Dynamics: The Quest for Performance Section 5.4.2, 5.4.3 [All lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Why Power Matters PE/EE 47, PE 57 VLSI Design I L5: Power and Designing for Low Power Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka

More information

EEE 421 VLSI Circuits

EEE 421 VLSI Circuits EEE 421 CMOS Properties Full rail-to-rail swing high noise margins» Logic levels not dependent upon the relative device sizes transistors can be minimum size ratioless Always a path to V dd or GND in steady

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Digital Integrated Circuits 2nd Inverter

Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits The Inverter The CMOS Inverter V DD Analysis Inverter complex gate Cost V in V out complexity & Area Integrity and robustness C L Static behavior Performance Dynamic response

More information

Lecture 4: CMOS review & Dynamic Logic

Lecture 4: CMOS review & Dynamic Logic Lecture 4: CMOS review & Dynamic Logic Reading: ch5, ch6 Overview CMOS basics Power and energy in CMOS Dynamic logic 1 CMOS Properties Full rail-to-rail swing high noise margins Logic levels not dependent

More information

The CMOS Inverter: A First Glance

The CMOS Inverter: A First Glance The CMOS Inverter: A First Glance V DD V in V out C L CMOS Properties Full rail-to-rail swing Symmetrical VTC Propagation delay function of load capacitance and resistance of transistors No static power

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 14 The CMOS Inverter: dynamic behavior (sizing, inverter

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

B.Supmonchai July 5th, q Quantification of Design Metrics of an inverter. q Optimization of an inverter design. B.Supmonchai Why CMOS Inverter?

B.Supmonchai July 5th, q Quantification of Design Metrics of an inverter. q Optimization of an inverter design. B.Supmonchai Why CMOS Inverter? July 5th, 4 Goals of This Chapter Quantification of Design Metrics of an inverter Static (or Steady-State) Behavior Chapter 5 CMOS Inverter Boonchuay Supmonchai Integrated Design Application Research (IDAR)

More information

CPE/EE 427, CPE 527 VLSI Design I L06: CMOS Inverter, CMOS Logic Gates. Course Administration. CMOS Inverter: A First Look

CPE/EE 427, CPE 527 VLSI Design I L06: CMOS Inverter, CMOS Logic Gates. Course Administration. CMOS Inverter: A First Look CPE/EE 47, CPE 57 VLSI esign I L6: CMOS Inverter, CMOS Logic Gates epartment of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka )

More information

Digital Microelectronic Circuits ( ) The CMOS Inverter. Lecture 4: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) The CMOS Inverter. Lecture 4: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-301 ) Presented by: Adam Teman Lecture 4: The CMOS Inverter 1 Last Lectures Moore s Law Terminology» Static Properties» Dynamic Properties» Power The MOSFET Transistor»

More information

CMOS Inverter: CPE/EE 427, CPE 527 VLSI Design I L06: CMOS Inverter, CMOS Logic Gates. Course Administration. CMOS Properties.

CMOS Inverter: CPE/EE 427, CPE 527 VLSI Design I L06: CMOS Inverter, CMOS Logic Gates. Course Administration. CMOS Properties. CMOS Inverter: Steady State Response CPE/EE 47, CPE 57 VLSI esign I L6: CMOS Inverter, CMOS Logic Gates R p V OL = V OH = V M = f(r n, R p ) epartment of Electrical and Computer Engineering University

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

DC and Transient Responses (i.e. delay) (some comments on power too!)

DC and Transient Responses (i.e. delay) (some comments on power too!) DC and Transient Responses (i.e. delay) (some comments on power too!) Michael Niemier (Some slides based on lecture notes by David Harris) 1 Lecture 02 - CMOS Transistor Theory & the Effects of Scaling

More information

ASIC FPGA Chip hip Design Pow Po e w r e Di ssipation ssipa Mahdi Shabany

ASIC FPGA Chip hip Design Pow Po e w r e Di ssipation ssipa Mahdi Shabany ASIC/FPGA Chip Design Power Di ssipation Mahdi Shabany Department tof Electrical ti lengineering i Sharif University of technology Outline Introduction o Dynamic Power Dissipation Static Power Dissipation

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Miscellaneous Lecture topics. Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.]

Miscellaneous Lecture topics. Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] Miscellaneous Lecture topics Mary Jane Irwin [dapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] MOS Switches MOS transistors can be viewed as simple switches. In an N-Switch, the

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 Introduction So far, we have treated transistors

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

EE5311- Digital IC Design

EE5311- Digital IC Design EE5311- Digital IC Design Module 3 - The Inverter Janakiraman V Assistant Professor Department of Electrical Engineering Indian Institute of Technology Madras Chennai September 6, 2017 Janakiraman, IITM

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS CHAPTER 5 CMOS DIGITAL LOGIC CIRCUITS Chapter Outline 5. CMOS Logic Gate Circuits 5. Digital Logic Inverters 5.3 The CMOS Inverter 5.4 Dynamic Operation of the CMOS Inverter 5.5 Transistor Sizing 5.6 Power

More information

Dynamic operation 20

Dynamic operation 20 Dynamic operation 20 A simple model for the propagation delay Symmetric inverter (rise and fall delays are identical) otal capacitance is linear t p Minimum length devices R W C L t = 0.69R C = p W L 0.69

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

MOSFET and CMOS Gate. Copy Right by Wentai Liu

MOSFET and CMOS Gate. Copy Right by Wentai Liu MOSFET and CMOS Gate CMOS Inverter DC Analysis - Voltage Transfer Curve (VTC) Find (1) (2) (3) (4) (5) (6) V OH min, V V OL min, V V IH min, V V IL min, V OHmax OLmax IHmax ILmax NM L = V ILmax V OL max

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

The Inverter. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic

The Inverter. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Inverter Revised from Digital Integrated Circuits, Jan M. Rabaey el, 2003 Propagation Delay CMOS

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

DC & Transient Responses

DC & Transient Responses ECEN454 Digital Integrated Circuit Design DC & Transient Responses ECEN 454 DC Response DC Response: vs. for a gate Ex: Inverter When = -> = When = -> = In between, depends on transistor size and current

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 15: March 15, 2018 Euler Paths, Energy Basics and Optimization Midterm! Midterm " Mean: 89.7 " Standard Dev: 8.12 2 Lecture Outline! Euler

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 21, 2017 Transmission Gates, Euler Paths, Energy Basics Review Midterm! Midterm " Mean: 79.5 " Standard Dev: 14.5 2 Lecture Outline!

More information

ECE321 Electronics I

ECE321 Electronics I ECE31 Electronics Lecture 1: CMOS nverter: Noise Margin & Delay Model Payman Zarkesh-Ha Office: ECE Bldg. 30B Office hours: Tuesday :00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 CMOS

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 12 The CMOS Inverter: static behavior guntzel@inf.ufsc.br

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits esign Perspective esigning Combinational Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit Out State Combinational

More information

CMOS Technology for Computer Architects

CMOS Technology for Computer Architects CMOS Technology for Computer Architects Recap Technology Trends Lecture 2: Transistor Inverter Iakovos Mavroidis Giorgos Passas Manolis Katevenis FORTH-ICS (University of Crete) 1 2 Recap Threshold Voltage

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

EE5311- Digital IC Design

EE5311- Digital IC Design EE5311- Digital IC Design Module 3 - The Inverter Janakiraman V Assistant Professor Department of Electrical Engineering Indian Institute of Technology Madras Chennai September 3, 2018 Janakiraman, IITM

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design & Logical Effort Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay

More information

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter ECE 438: Digital Integrated Circuits Assignment #4 The Inverter Text: Chapter 5, Digital Integrated Circuits 2 nd Ed, Rabaey 1) Consider the CMOS inverter circuit in Figure P1 with the following parameters.

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

2007 Fall: Electronic Circuits 2 CHAPTER 10. Deog-Kyoon Jeong School of Electrical Engineering

2007 Fall: Electronic Circuits 2 CHAPTER 10. Deog-Kyoon Jeong School of Electrical Engineering 007 Fall: Electronic Circuits CHAPTER 10 Digital CMOS Logic Circuits Deog-Kyoon Jeong dkjeong@snu.ac.kr k School of Electrical Engineering Seoul lnational luniversity it Introduction In this chapter, we

More information

ECE 342 Solid State Devices & Circuits 4. CMOS

ECE 342 Solid State Devices & Circuits 4. CMOS ECE 34 Solid State Devices & Circuits 4. CMOS Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 34 Jose Schutt Aine 1 Digital Circuits V IH : Input

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 Transistor Resistance Two

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Jan M. Rabaey nantha Chandrakasan orivoje Nikolić Designing Combinational Logic Circuits November 2002. 1 Combinational vs. Sequential Logic In Combinational

More information

Properties of CMOS Gates Snapshot

Properties of CMOS Gates Snapshot MOS logic 1 Properties of MOS Gates Snapshot High noise margins: V OH and V OL are at V DD and GND, respectively. No static power consumption: There never exists a direct path between V DD and V SS (GND)

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Inverters Topics Inverter VTC Noise Margin Static Load Inverters CMOS Inverter First-Order DC Analysis R p V OL = 0 V OH = R n =0 = CMOS Inverter: Transient Response R p

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

Digital Integrated Circuits

Digital Integrated Circuits Chapter 6 The CMOS Inverter 1 Contents Introduction (MOST models) 0, 1 st, 2 nd order The CMOS inverter : The static behavior: o DC transfer characteristics, o Short-circuit current The CMOS inverter :

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

High-to-Low Propagation Delay t PHL

High-to-Low Propagation Delay t PHL High-to-Low Propagation Delay t PHL V IN switches instantly from low to high. Driver transistor (n-channel) immediately switches from cutoff to saturation; the p-channel pull-up switches from triode to

More information

Check course home page periodically for announcements. Homework 2 is due TODAY by 5pm In 240 Cory

Check course home page periodically for announcements. Homework 2 is due TODAY by 5pm In 240 Cory EE141 Fall 005 Lecture 6 MOS Capacitances, Propagation elay Important! Check course home page periodically for announcements Homework is due TOAY by 5pm In 40 Cory Homework 3 will be posted TOAY ue Thursday

More information

EECS 141: FALL 05 MIDTERM 1

EECS 141: FALL 05 MIDTERM 1 University of California College of Engineering Department of Electrical Engineering and Computer Sciences D. Markovic TuTh 11-1:3 Thursday, October 6, 6:3-8:pm EECS 141: FALL 5 MIDTERM 1 NAME Last SOLUTION

More information

Lecture 14 - Digital Circuits (III) CMOS. April 1, 2003

Lecture 14 - Digital Circuits (III) CMOS. April 1, 2003 6.12 - Microelectronic Devices and Circuits - Spring 23 Lecture 14-1 Lecture 14 - Digital Circuits (III) CMOS April 1, 23 Contents: 1. Complementary MOS (CMOS) inverter: introduction 2. CMOS inverter:

More information

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model - Spring 003 Lecture 4 Design Rules CMOS Inverter MOS Transistor Model Today s lecture Design Rules The CMOS inverter at a glance An MOS transistor model for manual analysis Important! Labs start next

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain - Spring 2003 Lecture 8 Power Inverter Chain Announcements Homework 3 due today. Homework 4 will be posted later today. Special office hours from :30-3pm at BWRC (in lieu of Tuesday) Today s lecture Power

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

Lecture 11 VTCs and Delay. No lab today, Mon., Tues. Labs restart next week. Midterm #1 Tues. Oct. 7 th, 6:30-8:00pm in 105 Northgate

Lecture 11 VTCs and Delay. No lab today, Mon., Tues. Labs restart next week. Midterm #1 Tues. Oct. 7 th, 6:30-8:00pm in 105 Northgate EE4-Fall 2008 Digital Integrated Circuits Lecture VTCs and Delay Lecture # Announcements No lab today, Mon., Tues. Labs restart next week Midterm # Tues. Oct. 7 th, 6:30-8:00pm in 05 Northgate Exam is

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

THE CMOS INVERTER CHAPTER. Quantification of integrity, performance, and energy metrics of an inverter Optimization of an inverter design

THE CMOS INVERTER CHAPTER. Quantification of integrity, performance, and energy metrics of an inverter Optimization of an inverter design chapter5.fm Page 176 Friday, January 18, 2002 9:01 M CHPTER 5 THE CMOS INVERTER Quantification of integrity, performance, and energy metrics of an inverter Optimization of an inverter design 5.1 Introduction

More information

Lecture 12 Digital Circuits (II) MOS INVERTER CIRCUITS

Lecture 12 Digital Circuits (II) MOS INVERTER CIRCUITS Lecture 12 Digital Circuits (II) MOS INVERTER CIRCUITS Outline NMOS inverter with resistor pull-up The inverter NMOS inverter with current-source pull-up Complementary MOS (CMOS) inverter Static analysis

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

5. CMOS Gate Characteristics CS755

5. CMOS Gate Characteristics CS755 5. CMOS Gate Characteristics Last module: CMOS Transistor theory This module: DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Transistor ehavior 1) If the width of a transistor

More information

Lecture 8-1. Low Power Design

Lecture 8-1. Low Power Design Lecture 8 Konstantinos Masselos Department of Electrical & Electronic Engineering Imperial College London URL: http://cas.ee.ic.ac.uk/~kostas E-mail: k.masselos@ic.ac.uk Lecture 8-1 Based on slides/material

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Designing ombinational Logic ircuits dapted from hapter 6 of Digital Integrated ircuits Design Perspective Jan M. Rabaey et al. opyright 2003 Prentice Hall/Pearson 1 ombinational vs. Sequential Logic In

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

Digital Microelectronic Circuits ( )

Digital Microelectronic Circuits ( ) Digital Microelectronic ircuits (361-1-3021 ) Presented by: Dr. Alex Fish Lecture 5: Parasitic apacitance and Driving a Load 1 Motivation Thus far, we have learned how to model our essential building block,

More information

Lecture 12 Circuits numériques (II)

Lecture 12 Circuits numériques (II) Lecture 12 Circuits numériques (II) Circuits inverseurs MOS Outline NMOS inverter with resistor pull-up The inverter NMOS inverter with current-source pull-up Complementary MOS (CMOS) inverter Static analysis

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

COMBINATIONAL LOGIC. Combinational Logic

COMBINATIONAL LOGIC. Combinational Logic COMINTIONL LOGIC Overview Static CMOS Conventional Static CMOS Logic Ratioed Logic Pass Transistor/Transmission Gate Logic Dynamic CMOS Logic Domino np-cmos Combinational vs. Sequential Logic In Logic

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 ourse dministration PE/EE 47, PE 57 VLI esign I L6: tatic MO Logic epartment of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka )

More information

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003 Interconnect (2) Buffering Techniques.Transmission Lines Lecture 12 18-322 Fall 2003 A few announcements Partners Lab Due Times Midterm 1 is nearly here Date: 10/14/02, time: 3:00-4:20PM, place: in class

More information

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #3: CMOS Inverters MOS Scaling Rajeevan Amirtharajah University of California, Davis Jeff Parhurst Intel Corporation Outline Review: Inverter Transfer Characteristics Lecture 3: Noise Margins,

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 PE/EE 47, PE 57 VLI esign I L6: tatic MO Logic epartment of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) www. ece.uah.edu/~milenka/cpe57-3f

More information

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors ECE 342 Electronic Circuits 3. MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering CMOS logic gates João Canas Ferreira University of Porto Faculty of Engineering March 2016 Topics 1 General structure 2 General properties 3 Cell layout João Canas Ferreira (FEUP) CMOS logic gates March

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

4.10 The CMOS Digital Logic Inverter

4.10 The CMOS Digital Logic Inverter 11/11/2004 section 4_10 The CMOS Digital Inverter blank.doc 1/1 4.10 The CMOS Digital Logic Inverter Reading Assignment: pp. 336346 Complementary MOSFET (CMOS) is the predominant technology for constructing

More information

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic [dapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey,. Chandrakasan,. Nikolic] Sp11 CMPEN 411

More information