Introduction to CMOS VLSI Design. SPICE Simulation. Curtis Nelson Walla Walla University

Size: px
Start display at page:

Download "Introduction to CMOS VLSI Design. SPICE Simulation. Curtis Nelson Walla Walla University"

Transcription

1 Introduction to CMOS VLSI Design SPICE Simulation Curtis Nelson Walla Walla University

2 Outline q Introduction to SPICE q DC Analysis q Transient Analysis q Subcircuits q Eldo Slide 2

3 SPICE q SPICE is the most commonly used analog circuit simulator q The simulator was developed at the University of California, Berkeley, and was first released in 1972 q SPICE fundamentals Comments Devices Commands Slide 3

4 Introduction to SPICE q Simulation Program with Integrated Circuit Emphasis Developed in 1970 s at Berkeley Many commercial versions are available HSPICE is a robust industry standard Has many enhancements currently in use q Written in FORTRAN for punch-card machines Circuits elements are called cards Complete description is called a SPICE deck Slide 4

5 SPICE Begins Under urging from his advisors, Laurence Nagel of UC Berkley develops a proprietary non-military simulator. Under further pressure, he rewrites an open source circuit simulator; SPICE Program Year Language Of Note CANCER 1968 FORTRAN No Radiation SPICE FORTRAN Nodal Analysis SPICE FORTRAN Variable Timestep SPICE C Accounted for Shrinking Nodes SPICE derivatives are still being developed by large IC CMOS VLSI Design

6 SPICE1 q Nodal analysis poor representation of inductors & floating sources q Fixed timestep Transient analysis q BJTs changed to use Gummel-Poon q JFET & MOSFET devices included CMOS VLSI Design

7 SPICE2 q Modified Nodal Analysis includes branch currents now reliably models inductors and sources. q Variable timestep included for transient q MOSFETs and BJTs overhauled and extended q SPICE2G.6 is last FORTRAN version and is the basis for many implementations used today CMOS VLSI Design

8 SPICE3 q Written in C q Graphics interface q MESFETS, lossy transmission lines, non-ideal switches added. q Models updated to accommodate shrinking geometries. q No longer compatible with previous versions CMOS VLSI Design

9 Today q SPICE bundled behind many schematic capture and layout packages q Proprietary versions still in development by large IC design houses. q Covered by the BSD license CMOS VLSI Design

10 Writing Spice Decks q Writing a SPICE deck is like writing a good program Plan: sketch schematic on paper or in editor Modify existing decks whenever possible Code: strive for clarity Start with name, , date, purpose Generously comment Test: Predict what results should be Compare with actual Garbage In, Garbage Out! Slide 10

11 Lab1 Spice Deck *.CONNECT statements *.CONNECT GND 0 * ELDO netlist on Mon Mar at 15:15:04 * * Globals. *.global GND VDD * * component pathname : $MGC_WD/inv1 *.subckt INV1 OUT IN MP1 OUT IN VDD VDD p L=2u W=5u MN1 OUT IN GND GND n L=2u W=5u.ends INV1 ** MAIN CELL: component pathname : $MGC_WD/buf1 * X_INV11 N$1 N$5 INV1 X_INV13 VO N$2 INV1 V1 N$5 GND PULSE ( 0V 5V 0nS 1nS 1nS 20nS 50nS ) V2 VDD GND DC 5V C1 VO GND notchedrow 300f X_INV12 N$2 N$1 INV1 * * eldo include file. *.end Slide 11

12 Example: RC Circuit * rc.sp * David_Harris@hmc.edu 2/2/03 * Find the response of RC circuit to rising input * * Parameters and models * option post * * Simulation netlist * Vin in gnd pwl 0ps 0 100ps 0 150ps ps 1.8 R1 in out 2k C1 out gnd 100f Vin R1 = 2KΩ C1 = 100fF + Vout - * * Stimulus * tran 20ps 800ps.plot v(in) v(out).end Slide 12

13 Result (Textual) legend: a: v(in) b: v(out) time v(in) (ab ) m p p p p p p m +b + + a p b a p b a p b a p b a p b a p b a p b a p b a p b + + +a p b + + +a p b + + +a p b + +a p b + +a p b a p b + +a p b + +a p b+ +a p b +a p b +a p b +a p b +a p b +a p b +a p b--+a p b +a p b +a p b +a p b +a p b+a p b+a p b+a p b+a p ba p ba Slide 13

14 Result (Graphical) 2.0 v(in) 1.5 v(out) p 200p 300p 400p 500p 600p 700p 800p 900p t(s) Slide 14

15 Sources q DC Source Vdd vdd gnd 2.5 q Piecewise Linear Source Vin in gnd pwl 0ps 0 100ps 0 150ps ps 1.8 q Pulsed Source Vck clk gnd PULSE ps 100ps 100ps 300ps 800ps PULSE v1 v2 td tr tf pw per v2 td tr pw tf v1 per Slide 15

16 SPICE Elements Letter R C L K V I M D Q W X E G H F Element Resistor Capacitor Inductor Mutual Inductor Independent voltage source Independent current source MOSFET Diode Bipolar transistor Lossy transmission line Subcircuit Voltage-controlled voltage source Voltage-controlled current source Current-controlled voltage source Current-controlled current source Slide 16

17 Units Letter Unit Magnitude a atto f femto p pico n nano 10-9 u micro 10-6 m milli 10-3 k kilo 10 3 x mega 10 6 g giga 10 9 Ex: 100 femtofarad capacitor = 100fF, 100f, 100e-15 Slide 17

18 DC Analysis * mosiv.sp * * Parameters and models * include '../models/tsmc180/models.sp'.temp 70.option post 4/2 I ds * * Simulation netlist * *nmos Vgs g gnd 0 Vds d gnd 0 M1 d g gnd gnd NMOS W=0.36u L=0.18u V gs V ds * * Stimulus * dc Vds SWEEP Vgs end Slide 18

19 I-V Characteristics q nmos I-V V gs dependence Saturation V gs = 1.8 V gs = 1.5 I ds (µa) 100 V gs = V gs = V ds V gs = 0.6 Slide 19

20 MOSFET Elements M element for MOSFET Mname drain gate source body type + W=<width> L=<length> + AS=<area source> AD = <area drain> + PS=<perimeter source> PD=<perimeter drain> Slide 20

21 Transient Analysis * inv.sp * Parameters and models * param SUPPLY=1.8.option scale=90n.include '../models/tsmc180/models.sp'.temp 70.option post * Simulation netlist * Vdd vdd gnd 'SUPPLY' Vin a gnd PULSE 0 'SUPPLY' 50ps 0ps 0ps 100ps 200ps M1 y a gnd gnd NMOS W=4 L=2 + AS=20 PS=18 AD=20 PD=18 M2 y a vdd vdd PMOS W=8 L=2 + AS=40 PS=26 AD=40 PD=26 * Stimulus * tran 1ps 200ps.end Slide 21 a 8/2 4/2 y

22 Transient Results q Unloaded inverter Overshoot Very fast edges v(a) v(y) (V) t f = 10ps t pdf = 12ps t pdr = 15ps t r = 16ps p 100p 150p 200p t(s) Slide 22

23 Subcircuits q Declare common elements as subcircuits.subckt inv a y N=4 P=8 M1 y a gnd gnd NMOS W='N' L=2 + AS='N*5' PS='2*N+10' AD='N*5' PD='2*N+10' M2 y a vdd vdd PMOS W='P' L=2 + AS='P*5' PS='2*P+10' AD='P*5' PD='2*P+10'.ends q Ex: Fanout-of-4 Inverter Delay Reuse inv Shaping Loading Shape input Device Under Test Load a b c d e X1 X2 X3 X Load on Load 512 X5 256 f Slide 23

24 FO4 Inverter Delay * fo4.sp * Parameters and models * param SUPPLY=1.8.param H=4.option scale=90n.include '../models/tsmc180/models.sp'.temp 70.option post * Subcircuits * global vdd gnd.include '../lib/inv.sp' * Simulation netlist * Vdd vdd gnd 'SUPPLY' Vin a gnd PULSE 0 'SUPPLY' 0ps 100ps 100ps 500ps 1000ps X1 a b inv * shape input waveform X2 b c inv M='H' * reshape input waveform.end Slide 24

25 FO4 Inverter Delay Cont. X3 c d inv M='H**2' * device under test X4 d e inv M='H**3' * load x5 e f inv M='H**4' * load on load * Stimulus * tran 1ps 1000ps.measure tpdr * rising prop delay + TRIG v(c) VAL='SUPPLY/2' FALL=1 + TARG v(d) VAL='SUPPLY/2' RISE=1.measure tpdf * falling prop delay + TRIG v(c) VAL='SUPPLY/2' RISE=1 + TARG v(d) VAL='SUPPLY/2' FALL=1.measure tpd param='(tpdr+tpdf)/2' * average prop delay.measure trise * rise time + TRIG v(d) VAL='0.2*SUPPLY' RISE=1 + TARG v(d) VAL='0.8*SUPPLY' RISE=1.measure tfall * fall time + TRIG v(d) VAL='0.8*SUPPLY' FALL=1 + TARG v(d) VAL='0.2*SUPPLY' FALL=1.end Slide 25

26 FO4 Results 2.0 a b 1.5 c d 1.0 (V) 0.5 t pdf = 66ps t pdr = 83ps e f p 400p 600p 800p 1n t(s) Slide 26

27 Optimization q HSPICE can automatically adjust parameters Seek value that optimizes some measurement q Example: Best P/N ratio We ve assumed 2:1 gives equal rise/fall delays But we see rise is actually slower than fall What P/N ratio gives equal delays? q Strategies (1) run a bunch of simulations with different P size (2) let HSPICE optimizer do it for us Slide 27

28 P/N Optimization * fo4opt.sp * Parameters and models * param SUPPLY=1.8.option scale=90n.include '../models/tsmc180/models.sp'.temp 70.option post * Subcircuits * global vdd gnd.include '../lib/inv.sp' * Simulation netlist * Vdd vdd gnd 'SUPPLY' Vin a gnd PULSE 0 'SUPPLY' 0ps 100ps 100ps 500ps 1000ps X1 a b inv P='P1' * shape input waveform X2 b c inv P='P1' M=4 * reshape input X3 c d inv P='P1' M=16 * device under test Slide 28

29 P/N Optimization X4 d e inv P='P1' M=64 * load X5 e f inv P='P1' M=256 * load on load * Optimization setup * param P1=optrange(8,4,16) * search from 4 to 16, guess 8.model optmod opt itropt=30 * maximum of 30 iterations.measure bestratio param='p1/4' * compute best P/N ratio * Stimulus * tran 1ps 1000ps SWEEP OPTIMIZE=optrange RESULTS=diff MODEL=optmod.measure tpdr * rising propagation delay + TRIG v(c)val='supply/2' FALL=1 + TARG v(d) VAL='SUPPLY/2' RISE=1.measure tpdf * falling propagation delay + TRIG v(c) VAL='SUPPLY/2' RISE=1 + TARG v(d) VAL='SUPPLY/2' FALL=1.measure tpd param='(tpdr+tpdf)/2' goal=0 * average prop delay.measure diff param='tpdr-tpdf' goal = 0 * diff between delays.end Slide 29

30 P/N Results q P/N ratio for equal delay is 3.6:1 t pd = t pdr = t pdf = 84 ps (slower than 2:1 ratio) Big pmos transistors waste power too Seldom design for exactly equal delays q What ratio gives lowest average delay?.tran 1ps 1000ps SWEEP OPTIMIZE=optrange RESULTS=tpd MODEL=optmod P/N ratio of 1.4:1 t pdr = 87 ps, t pdf = 59 ps, t pd = 73 ps Slide 30

31 Power Measurement q HSPICE can measure power Instantaneous P(t) Or average P over some interval.print P(vdd).measure pwr AVG P(vdd) FROM=0ns TO=10ns q Power in single gate Connect to separate V DD supply Be careful about input power Slide 31

32 Logical Effort q Logical effort can be measured from simulation As with FO4 inverter, shape input, load output Shape input Device Under Test Load Load on Load a X1 M=1 b X2 M=h c X3 d X4 e X5 f M=h 2 M=h 3 M=h 4 Slide 32

33 Logical Effort Plots q Plot t pd vs. h Normalize by τ y-intercept is parasitic delay Slope is logical effort q Delay fits straight line very well in any process as long as input slope is consistent d abs τ = 15 ps h Slide 33

34 Logical Effort Data q For NAND gates in TSMC 180 nm process: q Notes: Parasitic delay is greater for outer input Average logical effort is better than estimated Slide 34

35 Comparison Slide 35

36 Eldo q Mentor Graphics product q One of the leading SPICE simulators q Takes a long time to learn (Even longer to master) q ~/anacad/documentation/ eldo_rf.pdf (387) Eldo RF eldo_ur.pdf (1365) Eldo user manual eldo_sources.pdf Lists all sources eldo_devices.pdf Lists all devices eldo_commands.pdf Lists all commands equations.pdf (837) Device equations Slide 36

37 Eldo Devices Slide 37

38 Eldo Sources Slide 38

39 Eldo Commands Slide 39

40 Eldo Overview Slide 40

41 Eldo Syntax q First line (title) is always ignored q Case insensitive q To continue lines use + q Comments begin with * q Inline comments! q Multiline comments #com #endcom q Scale factors Slide 41

42 Arithmetic Functions (1) Slide 42

43 Arithmetic Functions (2) Slide 43

44 Operators Slide 44

45 Expressions q Numerical expressions must be eclosed in { }, or ( ) q String expressions must be enclosed in q Mathematical grouping ( ) q Used in: Calculation of M, R, L, C parameters Time point values in PULSE, PWL, SFFM, SIN and EXP Voltage and current sources values.param,.extract and.defwave Slide 45

46 Common Devices q M MOS-Transistor q R Resistor q C Capacitor q L Inductor Slide 46

47 MOS Transistor mxx d g s b <model> w=width l=length m1 out in vss vss modn w=10u l=0.35u m2 out in vdd vdd modp w=10u l=0.35u Slide 47

48 R, L, C [R L C]xx n1 n2 size R1 n1 n2 100k C1 n1 n2 1p L1 n1 n2 1n Slide 48

49 Combining Devices.subkt filter in out param: res=100k cap=1p R1 in out res C1 out 0 cap.ends filter... x1 in out filter res=200k Slide 49

50 Independent sources Vxx n1 n2 [DC AC SIN PWL PULSE...] Ixx n1 n2 [DC AC SIN PWL PULSE...] V1 vdd 0 dc 3 V2 vin 0 dc 0.5 ac 1 V3 vip 0 pwl (0 0 1u 3) V4 vis 0 sin ( Meg) Ib ibias 0 dc 1uA Ibias vdd ib dc 10uA Slide 50

51 Dependent voltage sources Exx n1 n2 value={expression} Exx n1 n2 nc1 nc2 amplification ++ q Can be used to model devices/circuits Slide 51

52 Dependent current sources Gxx n1 n2 value={expression} Gxx n1 n2 nc1 nc2 amplification ++ q Can be used to model functions.param gm1=10u... Vip vip 0 dc 0.5 ac 1... G1 ib n1 value={gm1*v(vip)} Slide 52

53 .dc q DC sweep v1 vdd 0 dc 3....dc v m1 out vin vdd vdd modp w=1u l=0.35u....dc m1 w 1u 10u 1u Slide 53

54 .tran q Transient analysis.tran 100n 10u 5u 100n Used to calculate maximum step size 10u Stop 5u Start Slide 54

55 .inc q Include other files into your testbench..inc <path to file> q Can be relative or absolute.inc../da/mycircuits.cir.inc $HOME/project/da/mycircuits.cir Slide 55

56 .lib q Create library file1.cir:.lib sn.subckt my_switch vds clk out vdda vssa xs2 vds clk out vdda vssa sn_035.ends.endl sn.lib sp.subckt my_switch vds clk out vdda vssa xs2 vds clk out vdda vssa sp_035.ends.endl sp testbench:.lib file1.cir sn... Xn in clk out vdda vssa my_switch Slide 56

57 .option q Sets Eldo options..option <option>.option noascii No ascii waveform data..option aex Print extracted data to separate file.option msgnode Print all warnings... Slide 57

58 .plot q.plot <waveform>.plot v(vout).plot i(v1).plot gm(m1)! Gm for MOSFET Slide 58

59 Summary q We covered: Introduction to SPICE DC Analysis Transient Analysis Subcircuits Spice particulars Eldo Slide 59

Lecture 7: SPICE Simulation

Lecture 7: SPICE Simulation Introduction to CMOS VLSI Design Lecture 7: SPICE Simulation David Harris Harvey Mudd College Spring 2004 Outline Introduction to SPICE DC Analysis Transient Analysis Subcircuits Optimization Power Measurement

More information

Analog Simulation. Digital simulation. Analog simulation. discrete values. discrete timing. continuous values. continuous timing

Analog Simulation. Digital simulation. Analog simulation. discrete values. discrete timing. continuous values. continuous timing Analog Simulation Digital simulation discrete values bit, boolean, enumerated, integer exception - floating point discrete timing cycle based - uniform time intervals event based - nonuniform time intervals

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #7 Solutions EECS141 PROBLEM 1: Logical Effort with Velocity Saturated

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Elad Alon Homework #2 EECS141 Due Thursday, September 9, 5pm, box in 240 Cory

Elad Alon Homework #2 EECS141 Due Thursday, September 9, 5pm, box in 240 Cory UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #2 EECS141 Due Thursday, September 9, 5pm, box in 240 Cory PROBLEM

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #2 - Solutions EECS141 Due Thursday, September 10, 5pm, box in 240

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CAIFORNIA, BERKEEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #7 - Solutions EECS141 Due Thursday, October 22, 5pm, box in 240 Cory

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engeerg Department of Electrical Engeerg and Computer Sciences Elad Alon Homework # Solutions EECS141 PROBLEM 1: VTC In this problem we will analyze the noise

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #2 EECS141 Due Thursday, September 9, 5pm, box in 240 Cory PROBLEM

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 Transistor Resistance Two

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF LIFORNI, ERKELEY ollege of Engineering Department of Electrical Engineering and omputer Sciences Elad lon Homework #3 EE141 Due Thursday, September 13 th, 5pm, box outside 125 ory PROLEM

More information

ECE251 VLSI System Design Spring Homework 1. Jinfeng Liu

ECE251 VLSI System Design Spring Homework 1. Jinfeng Liu ECE251 VLSI System Design Spring 2000 Homework 1 Jinfeng Liu 65547013 05/27/2000 Problem 1: Procedure of solutions 1. Determine β n β n = An * C L / t df Ar = 1 2n (1 n) ln (2(1 n) V 0) 0) Vdd(1 n) V [

More information

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville CPE/EE 47, CPE 57 VLSI Design I Delay Estimation Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: CMOS Circuit

More information

Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics. Lena Peterson

Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics. Lena Peterson Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics Lena Peterson 2015-10-13 Outline (1) Why is the CMOS inverter gain not infinite? Large-signal

More information

Digital Integrated Circuits

Digital Integrated Circuits Chapter 6 The CMOS Inverter 1 Contents Introduction (MOST models) 0, 1 st, 2 nd order The CMOS inverter : The static behavior: o DC transfer characteristics, o Short-circuit current The CMOS inverter :

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 13 The CMOS Inverter: dynamic behavior (delay) guntzel@inf.ufsc.br

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

5. CMOS Gate Characteristics CS755

5. CMOS Gate Characteristics CS755 5. CMOS Gate Characteristics Last module: CMOS Transistor theory This module: DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Transistor ehavior 1) If the width of a transistor

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

EEE 421 VLSI Circuits

EEE 421 VLSI Circuits EEE 421 CMOS Properties Full rail-to-rail swing high noise margins» Logic levels not dependent upon the relative device sizes transistors can be minimum size ratioless Always a path to V dd or GND in steady

More information

ECE251. VLSI System Design

ECE251. VLSI System Design ECE251. VLSI System Design Project 4 SRAM Cell and Memory Array Operation Area Memory core 4661 mm 2 (256bit) Row Decoder 204.7 mm 2 Collumn Decoder Overall Design Predecoder 156.1 mm 2 Mux 629.2 mm 2

More information

MOSFET and CMOS Gate. Copy Right by Wentai Liu

MOSFET and CMOS Gate. Copy Right by Wentai Liu MOSFET and CMOS Gate CMOS Inverter DC Analysis - Voltage Transfer Curve (VTC) Find (1) (2) (3) (4) (5) (6) V OH min, V V OL min, V V IH min, V V IL min, V OHmax OLmax IHmax ILmax NM L = V ILmax V OL max

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

PRESIDENCY UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING EE 310: VLSI System Laboratory. Contents

PRESIDENCY UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING EE 310: VLSI System Laboratory. Contents PRESIDENCY UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING EE 310: VLSI System Laboratory Contents Experiment No Name of The Experiments. Page Experiment-1 INTRODUCTION TO CIRCUIT SIMULATION

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

have followed. Submit the necessary plots showing the trade-off between propagation delays and transistor widths.

have followed. Submit the necessary plots showing the trade-off between propagation delays and transistor widths. H o m e w o r k 3 S o l u t i o n E C E 5 5 9 : M O S V L S I D e s i g n ( F a l l 0 0 9 ) E C E D e p a r t m e n t, P u r d u e U n i v e r s i t y Assigned: 06-Oct-009 Due: 15-Oct-009 I m p o r t a

More information

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter ECE 438: Digital Integrated Circuits Assignment #4 The Inverter Text: Chapter 5, Digital Integrated Circuits 2 nd Ed, Rabaey 1) Consider the CMOS inverter circuit in Figure P1 with the following parameters.

More information

DC and Transient Responses (i.e. delay) (some comments on power too!)

DC and Transient Responses (i.e. delay) (some comments on power too!) DC and Transient Responses (i.e. delay) (some comments on power too!) Michael Niemier (Some slides based on lecture notes by David Harris) 1 Lecture 02 - CMOS Transistor Theory & the Effects of Scaling

More information

DC & Transient Responses

DC & Transient Responses ECEN454 Digital Integrated Circuit Design DC & Transient Responses ECEN 454 DC Response DC Response: vs. for a gate Ex: Inverter When = -> = When = -> = In between, depends on transistor size and current

More information

EECS 141: FALL 05 MIDTERM 1

EECS 141: FALL 05 MIDTERM 1 University of California College of Engineering Department of Electrical Engineering and Computer Sciences D. Markovic TuTh 11-1:3 Thursday, October 6, 6:3-8:pm EECS 141: FALL 5 MIDTERM 1 NAME Last SOLUTION

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 21, 2017 Transmission Gates, Euler Paths, Energy Basics Review Midterm! Midterm " Mean: 79.5 " Standard Dev: 14.5 2 Lecture Outline!

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design & Logical Effort Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 17: March 23, 2017 Energy and Power Optimization, Design Space Exploration, Synchronous MOS Logic Lecture Outline! Energy and Power Optimization

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1 ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 20, 2018 Energy and Power Optimization, Design Space Exploration Lecture Outline! Energy and Power Optimization " Tradeoffs! Design

More information

EE 230 Lecture 31. THE MOS TRANSISTOR Model Simplifcations THE Bipolar Junction TRANSISTOR

EE 230 Lecture 31. THE MOS TRANSISTOR Model Simplifcations THE Bipolar Junction TRANSISTOR EE 23 Lecture 3 THE MOS TRANSISTOR Model Simplifcations THE Bipolar Junction TRANSISTOR Quiz 3 Determine I X. Assume W=u, L=2u, V T =V, uc OX = - 4 A/V 2, λ= And the number is? 3 8 5 2? 6 4 9 7 Quiz 3

More information

Switching circuits: basics and switching speed

Switching circuits: basics and switching speed ECE137B notes; copyright 2018 Switching circuits: basics and switching speed Mark Rodwell, University of California, Santa Barbara Amplifiers vs. switching circuits Some transistor circuit might have V

More information

Static CMOS Circuits. Example 1

Static CMOS Circuits. Example 1 Static CMOS Circuits Conventional (ratio-less) static CMOS Covered so far Ratio-ed logic (depletion load, pseudo nmos) Pass transistor logic ECE 261 Krish Chakrabarty 1 Example 1 module mux(input s, d0,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 15: March 15, 2018 Euler Paths, Energy Basics and Optimization Midterm! Midterm " Mean: 89.7 " Standard Dev: 8.12 2 Lecture Outline! Euler

More information

Chapter 13 Small-Signal Modeling and Linear Amplification

Chapter 13 Small-Signal Modeling and Linear Amplification Chapter 13 Small-Signal Modeling and Linear Amplification Microelectronic Circuit Design Richard C. Jaeger Travis N. Blalock 1/4/12 Chap 13-1 Chapter Goals Understanding of concepts related to: Transistors

More information

EE115C Digital Electronic Circuits Homework #4

EE115C Digital Electronic Circuits Homework #4 EE115 Digital Electronic ircuits Homework #4 Problem 1 Power Dissipation Solution Vdd =1.0V onsider the source follower circuit used to drive a load L =20fF shown above. M1 and M2 are both NMOS transistors

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CLIFORNI, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad lon Homework #6 EECS141 Due Thursday, Oct. 15 @ 40 Cory Unless otherwise noted, you

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

COMBINATIONAL LOGIC. Combinational Logic

COMBINATIONAL LOGIC. Combinational Logic COMINTIONL LOGIC Overview Static CMOS Conventional Static CMOS Logic Ratioed Logic Pass Transistor/Transmission Gate Logic Dynamic CMOS Logic Domino np-cmos Combinational vs. Sequential Logic In Logic

More information

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB Power Consumption in CMOS 1 Power Dissipation in CMOS Two Components contribute to the power dissipation:» Static Power Dissipation Leakage current Sub-threshold current» Dynamic Power Dissipation Short

More information

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16]

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16] Code No: RR420203 Set No. 1 1. (a) Find g m and r ds for an n-channel transistor with V GS = 1.2V; V tn = 0.8V; W/L = 10; µncox = 92 µa/v 2 and V DS = Veff + 0.5V The out put impedance constant. λ = 95.3

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 10: February 15, 2018 MOS Inverter: Dynamic Characteristics Penn ESE 570 Spring 2018 Khanna Lecture Outline! Inverter Power! Dynamic Characteristics

More information

ECE321 Electronics I

ECE321 Electronics I ECE31 Electronics Lecture 1: CMOS nverter: Noise Margin & Delay Model Payman Zarkesh-Ha Office: ECE Bldg. 30B Office hours: Tuesday :00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 CMOS

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

Post-Layout Simulation. Analog and Digital Turbo Simulator (ADiT)

Post-Layout Simulation. Analog and Digital Turbo Simulator (ADiT) Post-Layout Simulation Analog and Digital Turbo Simulator (ADiT) Analog &Digital Turbo Simulator (ADiT) Extracted from schematic: design.lvs.netlist Extracted from layout: design.pex.netlist design.pex.netlist.design.pxi

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors ECE 342 Electronic Circuits 3. MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

Chapter 11. Inverter. DC AC, Switching. Layout. Sizing PASS GATES (CHPT 10) Other Inverters. Baker Ch. 11 The Inverter. Introduction to VLSI

Chapter 11. Inverter. DC AC, Switching. Layout. Sizing PASS GATES (CHPT 10) Other Inverters. Baker Ch. 11 The Inverter. Introduction to VLSI Chapter 11 Inverter DC AC, Switching Ring Oscillator Dynamic Power Dissipation Layout LATCHUP Sizing PASS GATES (CHPT 10) Other Inverters Joseph A. Elias, Ph.D. Adjunct Professor, University of Kentucky;

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

ANALYSIS OF THE BUMP PROBLEM IN BSIM3 USING NOR GATE CIRCUIT AND IMPLEMENTATION OF TECHNIQUES IN ORDER TO OVERCOME THEM

ANALYSIS OF THE BUMP PROBLEM IN BSIM3 USING NOR GATE CIRCUIT AND IMPLEMENTATION OF TECHNIQUES IN ORDER TO OVERCOME THEM ANALYSIS OF THE BUMP PROBLEM IN BSIM3 USING NOR GATE CIRCUIT AND IMPLEMENTATION OF TECHNIQUES IN ORDER TO OVERCOME THEM A Thesis SUBMITTED TO THE FACULTY OF UNIVERSITY OF MINNESOTA BY SUBRAMANIAM SANKARALINGAM

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 15: March 3, 2016 Combination Logic: Ratioed & Pass Logic, and Performance Lecture Outline! CMOS NOR2 Worst Case Analysis! Pass Transistor

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

Dynamic operation 20

Dynamic operation 20 Dynamic operation 20 A simple model for the propagation delay Symmetric inverter (rise and fall delays are identical) otal capacitance is linear t p Minimum length devices R W C L t = 0.69R C = p W L 0.69

More information

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe E40M Capacitors 1 Reading Reader: Chapter 6 Capacitance A & L: 9.1.1, 9.2.1 2 Why Are Capacitors Useful/Important? How do we design circuits that respond to certain frequencies? What determines how fast

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Jan M. Rabaey nantha Chandrakasan orivoje Nikolić Designing Combinational Logic Circuits November 2002. 1 Combinational vs. Sequential Logic In Combinational

More information

Circuit A. Circuit B

Circuit A. Circuit B UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Last modified on November 19, 2006 by Karl Skucha (kskucha@eecs) Borivoje Nikolić Homework #9

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

Chapter 4 Field-Effect Transistors

Chapter 4 Field-Effect Transistors Chapter 4 Field-Effect Transistors Microelectronic Circuit Design Richard C. Jaeger Travis N. Blalock 5/5/11 Chap 4-1 Chapter Goals Describe operation of MOSFETs. Define FET characteristics in operation

More information

Delay and Power Estimation

Delay and Power Estimation EEN454 Digital Integrated ircuit Design Delay and Power Estimation EEN 454 Delay Estimation We would like to be able to easily estimate delay Not as accurate as simulation But make it easier to ask What

More information

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003 Interconnect (2) Buffering Techniques.Transmission Lines Lecture 12 18-322 Fall 2003 A few announcements Partners Lab Due Times Midterm 1 is nearly here Date: 10/14/02, time: 3:00-4:20PM, place: in class

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information

Chapter 5. The Inverter. V1. April 10, 03 V1.1 April 25, 03 V2.1 Nov Inverter

Chapter 5. The Inverter. V1. April 10, 03 V1.1 April 25, 03 V2.1 Nov Inverter Chapter 5 The Inverter V1. April 10, 03 V1.1 April 25, 03 V2.1 Nov.12 03 Objective of This Chapter Use Inverter to know basic CMOS Circuits Operations Watch for performance Index such as Speed (Delay calculation)

More information

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model - Spring 003 Lecture 4 Design Rules CMOS Inverter MOS Transistor Model Today s lecture Design Rules The CMOS inverter at a glance An MOS transistor model for manual analysis Important! Labs start next

More information

Lecture 310 Open-Loop Comparators (3/28/10) Page 310-1

Lecture 310 Open-Loop Comparators (3/28/10) Page 310-1 Lecture 310 Open-Loop Comparators (3/28/10) Page 310-1 LECTURE 310 OPEN-LOOP COMPARATORS LECTURE ORGANIZATION Outline Characterization of comparators Dominant pole, open-loop comparators Two-pole, open-loop

More information

Digital Microelectronic Circuits ( ) The CMOS Inverter. Lecture 4: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) The CMOS Inverter. Lecture 4: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-301 ) Presented by: Adam Teman Lecture 4: The CMOS Inverter 1 Last Lectures Moore s Law Terminology» Static Properties» Dynamic Properties» Power The MOSFET Transistor»

More information

Lecture 8-1. Low Power Design

Lecture 8-1. Low Power Design Lecture 8 Konstantinos Masselos Department of Electrical & Electronic Engineering Imperial College London URL: http://cas.ee.ic.ac.uk/~kostas E-mail: k.masselos@ic.ac.uk Lecture 8-1 Based on slides/material

More information

EE 434 Lecture 33. Logic Design

EE 434 Lecture 33. Logic Design EE 434 Lecture 33 Logic Design Review from last time: Ask the inverter how it will interpret logic levels V IN V OUT V H =? V L =? V LARGE V H V L V H Review from last time: The two-inverter loop X Y X

More information

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B Using MOS Models C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 5.4 W&H 4.2 Background In the past two lectures we have reviewed the iv and CV curves for MOS devices, both

More information

EECS 105: FALL 06 FINAL

EECS 105: FALL 06 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Sciences Jan M. Rabaey TuTh 2-3:30 Wednesday December 13, 12:30-3:30pm EECS 105: FALL 06 FINAL NAME Last

More information

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain - Spring 2003 Lecture 8 Power Inverter Chain Announcements Homework 3 due today. Homework 4 will be posted later today. Special office hours from :30-3pm at BWRC (in lieu of Tuesday) Today s lecture Power

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

PURPOSE: See suggested breadboard configuration on following page!

PURPOSE: See suggested breadboard configuration on following page! ECE4902 Lab 1 C2011 PURPOSE: Determining Capacitance with Risetime Measurement Reverse Biased Diode Junction Capacitance MOSFET Gate Capacitance Simulation: SPICE Parameter Extraction, Transient Analysis

More information

Properties of CMOS Gates Snapshot

Properties of CMOS Gates Snapshot MOS logic 1 Properties of MOS Gates Snapshot High noise margins: V OH and V OL are at V DD and GND, respectively. No static power consumption: There never exists a direct path between V DD and V SS (GND)

More information

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1 RULES: Please try to work on your own. Discussion is permissible, but identical submissions are unacceptable! Please show all intermediate steps: a correct solution without an explanation will get zero

More information

Chapter 9. Estimating circuit speed. 9.1 Counting gate delays

Chapter 9. Estimating circuit speed. 9.1 Counting gate delays Chapter 9 Estimating circuit speed 9.1 Counting gate delays The simplest method for estimating the speed of a VLSI circuit is to count the number of VLSI logic gates that the input signals must propagate

More information