Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006

Size: px
Start display at page:

Download "Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006"

Transcription

1 Managing Physical Design Issues in ASI Toolflows omplex Digital Systems hristopher Batten February 1, 006

2 Managing Physical Design Issues in ASI Toolflows Logical Effort Physical Design Issues lock Distribution Power Distribution Wire Delay Power onsumption apacitive oupling 1. What is the issue?. How do custom designers address the issue? 3. How can we approximate these approaches in an ASI toolflow? Spring 006 L06 Managing Physical Design Issues in ASI Toolflows

3 Which gate topology and transistor sizing is optimal? Ideally, given a gate topology, we would like to answer two questions in a lightweight and technology independent way: 1. What is the optimal transistor sizing?. What is the optimal number of stages? Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 3

4 Review of the simple R model for the MOS inverter R eff V in V out V in V out g d R eff R eff = R eff,n = R eff,p g = g,n + g,p d = d,n + d,p Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 4

5 A gate template is gate with same drive current as minimum sized inverter R eff = R inv R eff d Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 5

6 We begin by deriving an equation for unitless delay in terms of a template Determine R for an actual gate relative to the template in = α in,t p = α Derive absolute delay in terms of the template p,t R EFF = R EFF,T α d abs = = K R EFF R K α EFF,T ( + ) out α in,t p = K R out in EFF R + K α EFF,T out + K R α p,t EFF p = K R = K R EFF,T EFF in,t out in in out in + K R + K R EFF,T EFF p,t p Independent of actual transistor widths Function of transistor widths in template Independent of actual transistor widths Should be rough Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 6

7 We begin by deriving an equation for unitless delay in terms of a template Determine R for an actual gate relative to the template in = α in,t p = α Derive absolute delay in terms of the template p,t R EFF = R EFF,T α d abs = = K R EFF R K α EFF,T ( + ) out α in,t p = K R out in EFF R + K α EFF,T out + K R α p,t EFF p = K R = K R EFF,T Function of the actual transistor widths Also called the gate fanout EFF in,t out in in out in + K R + K R EFF,T EFF p,t p Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 7

8 We begin by deriving an equation for unitless delay in terms of a template Determine R for an actual gate relative to the template in = α in,t p = α Derive absolute delay in terms of the template p,t R EFF = R EFF,T α d abs = = K R EFF R K α EFF,T ( + ) out α in,t p = K R out in EFF R + K α EFF,T out + K R α p,t EFF p = K R = K R EFF,T EFF in,t out in in out in + K R + K R EFF,T EFF p,t p Normalize this delay to the delay of an min inverter with no parasitics d = d abs τ = K R K R EFF,T inv in,t inv out in + K R K R EFF,T inv inv p,t = R EFF,T R inv in,t inv out in + R EFF,T R inv inv p,t For our 0.18um technology, τ 10ps Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 8

9 We begin by deriving an equation for unitless delay in terms of a template d = d abs τ = R EFF,T R inv in,t inv out in + R EFF,T R inv inv p,t Logical Effort (g) Electrical Effort (h) Parasitic Delay (p) Parasitic Delay is relative to a minimum sized inverter and is roughly independent of actual transistor widths Electrical Effort is the fanout of the gate and is a function of actual transistor widths Logical Effort compares characteristic R time constant of gate to minimum sized inverter and is independent of actual transistor widths Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 9

10 We begin by deriving an equation for unitless delay in terms of a template d = d abs τ = R EFF,T R inv in,t inv out in + R EFF,T R inv inv p,t d = d abs τ = g h + p Parasitic Delay is relative to a minimum sized inverter and is roughly independent of actual transistor widths Electrical Effort is the fanout of the gate and is a function of actual transistor widths Logical Effort compares characteristic R time constant of gate to minimum sized inverter and is independent of actual transistor widths Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 10

11 Logical effort is simply ratio of input cap to min inverter with same current drive Inverter Input ap = 3 units g = 1 (definition) p = 1 NAND Input ap = 4 units g = 4/3 p = 6/3 = NOR Input ap = 5 units g = 5/3 p = 6/3 = Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 11

12 Examples illustrating unit-less delay of gates with equal drive strength Inverter g = 1 (definition) p = 1 h = 10/6 = 1.67 d = gh+p =.67 NAND g = 4/3 p = h = 10/8 = 1.5 d = gh+p = 3.67 NOR g = 5/3 p = h = 10/10 = 1 d = gh+p = Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 1

13 Examples illustrating unit-less delay of gates with similar area Inverter g = 1 (definition) p = 1 h = 10/9 = 1.11 d = gh+p =.11 NAND g = 4/3 p = h = 10/5 = d = gh+p = 4.67 NOR g = 5/3 p = h = 10/5 = d = gh+p = Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 13

14 Path delay (D) is just the sum of the stage delays D = ( gi hi + pi ) = ( gi hi ) di = + i i i i p i 4 (4/3)x(/) + (4/3)x(4/) + 4 = (4/3)x(/) + (4/3)x(4/) + 4 = (4/3)x(4/) + (4/3)x(4/4) + 4 = Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 14

15 6.375 Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 15 What is the optimal delay for any general two stage topology? 1 3 g 1 g p 1 p ( ) ( ) = = p g p g p h g p g h D Form unitless delay equation Only free variable is ( ) 0 g g D = = Minimize with respect to ( ) h g g h g g g g = = = Minimal delay occurs when stage effort is equal

16 Key Result: Delay is minimized when effort is shared equally among stages D = ( gi hi + pi ) = ( gi hi ) di = + i i i i p i (4/3)x(/) + (4/3)x(4/) + 4 = (4/3)x(/) + (4/3)x(4/) + 4 = (4/3)x(4/) + (4/3)x(4/4) + 4 = Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 16

17 We now generalize this result with some additional terminology in out Path delay D = Σ d i = Σ g i h i + Σ p i Sum of stage delays Path logical effort Path electrical effort Path effort Optimal stage effort for N stages Optimal path delay G = Π g i H = Π h i = out / in F = Π f i = Π (g i h i ) = GH f OPT = F 1/N D OPT = Σ f OPT + Σ p i Product of stage LE Product of stage EE (Internal s cancel out) Product of stage efforts Optimal delay when g 1 h 1 = g h = = g N h N Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 17

18 Steps for transistor sizing 1. alculate path effort. alculate optimal path delay 3. Assign each stage equal effort 4. Work from out backwards assigning in values for each stage 5. onvert in values into transistors sizes Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 18

19 Finding the path effort and optimal delay (Steps 1 and ) Topology A Topology B Topology 4/3 4/3 4/3 4/ / /3 5/3 5/3 4/3 G N P D OPT H=1 H=1 1 A B (.96H) 1/4 + 7 (3.33H) 1/ (3.33H) 1/ Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 19

20 Finding actual transistor sizes for H=1 case (Steps 3-5) 6 Path Effort (F) = GH = (3.33)(1) = Divide path effort equally among stages F OPT = F 1/N = (3.33) 1/ = 1.8 out and in are given in equivalent gate transistor width cap Stage effort of nor gate must equal 1.8 We know logical effort is 5/3, so we can find (5/3)(6/ ) = 1.8 = 5.5 Double check that stage effort of first stage works out ()(5.5/6) = Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 0

21 Finding actual transistor sizes for H=1 case (Steps 3-5) Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 1

22 How many stages of inverters required if want to drive large load? in out D D OPT OPT N = = NF F 1 / N 1 / N + F Np 1 / N inv ( 1 / N ) + p = 0 ln F inv No simple closed form solution, but we can examine this function numerically Spring 006 L06 Managing Physical Design Issues in ASI Toolflows

23 Optimum number of stages for varying parasitic delays and stage effort Optimum Number of Stages (N) Total Path Effort (F) Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 3

24 Optimum stage effort for varying parasitic delays Optimum Stage Effort (f OPT ) f OPT 4 is a good rule-of-thumb P inv = 0, F OPT = e =.7 Parasitic Delay (P inv ) Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 4

25 A good rule-of-thumb is to target a stage effort around four in Minimum delay when: Stage effort = logical effort x electrical effort Some derivations use e = this ignores parasitics Broad optimum, stage efforts of within 15-0% of minimum Fan-out-of-four (FO4) is convenient design size (~5τ) FO4 delay: Delay of inverter driving four copies of itself out Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 5

26 Do the topologies in our original example have the optimum number of stages? Optimum Numr of Stages (N) Total Path Effort (F) G N P F (H=1) D (H=1) F (H=1) D (H=1) A B Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 6

27 Managing Physical Design Issues in ASI Toolflows Logical Effort Physical Design Issues lock Distribution Power Distribution Wire Delay Power onsumption apacitive oupling 1. What is the issue?. How do custom designers address the issue? 3. How can we approximate these approaches in an ASI toolflow? Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 7

28 lock Distribution: The Issue lock propagates across entire chip lock annot really distribute clock instantaneously with a perfectly regular period Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 8

29 lock Distribution: The Issue Two forms of variability lock Skew Difference in clock arrival time at two spatially distinct points B A A B Skew Usable lock Period Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 9

30 lock Distribution: The Issue Two forms of variability Period A!= Period B lock Jitter Difference in clock period over time Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 30

31 lock Distribution: The Issue Why is minimizing skew and jitter hard? lock Distribution Network Variations in trace length, metal width and height, coupling caps entral lock Driver Variations in local clock load, local power supply, local gate length and threshold, local temperature Local lock Buffers Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 31

32 lock Distribution: ustom Approach lock grids lower skew but high power Grid feeds flops directly, no local buffers lock driver tree spans height of chip Internal levels shorted together Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 3

33 lock Distribution: ustom Approach Trees have more skew but less power H-Tree R-Tree Recursive pattern to distribute signals uniformly with equal delay over area Each branch is individually routed to balance R delay Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 33

34 lock Distribution: ustom Approach Active deskewing circuits in Intel Itanium Active Deskew ircuits (cancels out systematic skew) Phase Locked Loop (PLL) Regional Grid Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 34

35 lock Distribution: ustom Approach Other techniques Use latch-based design Time borrowing helps reduce impact of clock uncertainty Timing analysis can be more difficult Make logical partitioning match physical partitioning Limits global communication where skew is usually the worst Helps break distribution problem into smaller subproblems Use globally asynchronous, locally synchronous design Divides design into synchronous regions which communicate through asynchronous channels Requires overhead for inter-domain communication Use asynchronous design Avoids clocks all together Incurs its own forms of control overhead Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 35

36 lock Distribution: ASI Approach lock Tree Synthesis Modern back-end tools include clock tree synthesis reates balanced R-trees Uses special clock buffer standard cells an add clock shielding an exploit useful clock skew Automatic clock tree generation still results in significantly worse clock uncertainties as compare to custom clock trees Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 36

37 Example of clock tree synthesis using commercial ASI back-end tools Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 37

38 Example of clock tree synthesis using commercial ASI back-end tools Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 38

39 Power Distribution: The Issue Possible IR drop across power network VDD VDD R eff R eff g Reff d g Reff d GND GND Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 39

40 Power Distribution: The Issue IR drop can be static or dynamic Static IR Drop Dynamic IR Drop Are these parasitic capacitances bad? VDD VDD R eff R eff g Reff d g Reff d GND GND Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 40

41 Power Distribution: ustom Approach arefully tailor power network G V G V B A Routed power distribution on two stacked layers of metal (one for VDD, one for GND). OK for lowcost, low-power designs with few layers of metal. V G V G V G V G V G V G Power Grid. Interconnected vertical and horizontal power bars. ommon on most high-performance designs. Often well over half of total metal on upper thicker layers used for VDD/GND. V G V G V G V V G V G V G V Dedicated VDD/GND planes. Very expensive. Only used on Alpha 164. Simplified circuit analysis. Dropped on subsequent Alphas. G G V G V G Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 41

42 Power Distribution: ASI Approach Strapping and rings for standard cells Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 4

43 Power Distribution: ASI Approach Power rings partition the power problem Early physical partitioning and prototyping is essential an use special filler cells to help add decoupling cap Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 43

44 Example of power distribution network using commercial ASI back-end tools Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 44

45 Example of power distribution network using commercial ASI back-end tools Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 45

46 Wire Delay: The Issue Large R makes long wires slow R driver R 1 R R N load 1 N Delay N i j = i j = 1 R j i Wire delay increases quadratically Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 46

47 Wire Delay: ustom Approach Manual insertion of repeaters R driver R 1 R R N load 1 N Delay N i R i i Wire delay increases linearly Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 47

48 Wire Delay: ustom Approach Several issues with repeater insertion Repeater must connect to transistor layers Blocks other routes with vias that connect down Requires space on active layers for buffer transistors Repeaters often grouped in preallocated repeater boxes spread around chip, and thus repeater location might not give ideal spacing Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 48

49 Wire Delay: Impact on RTL Make logical, physical partitioning match Limits global communication Helps simplify automatic buffer insertion Add extra pipeline stages for wire delay P4 included stages just for driving signals Requires very early physical prototyping Use latency insensitive methodology reate macroblocks with registered interfaces Enables pipelining wires late in design cycle T Next IP T Fetch Drive Alloc Rename Queue Schedule 1 Schedule Schedule 3 Dispatch 1 Dispatch Register File 1 Register File Execute Flags Branch heck Drive Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 49

50 Wire Delay: ASI Approach Front-end tools include rough wire-load models Usually statistical in nature Helps synthesis tool with technology mapping Back-end tools include better wire-load models After trial placement can use Manhattan distance Tool will automatically insert buffers where necessary Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 50

51 Power onsumption: The Issue Power has been increasing rapidly Power (Watts) Pentium 4 proc Pentium proc 1000W PU? [ Source: Intel ] Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 51

52 Power onsumption: The Issue Why is it a problem? Power dissipation is limiting factor in many systems Battery weight and life for portable devices Packaging and cooling costs for tethered systems ase temperature for laptop/wearable computers Fan noise for media hubs Example 1: ellphone 3 Watt hard power limit any more and customers complain Battery life is a strong product differentiator Example : Internet data center ~8,000 servers, ~ MegaWatts 5% of operational costs are in electricity bill for supplying power and running air-conditioning to remove heat Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 5

53 Power onsumption: The Issue Main forms are dynamic and static power R eff R eff g Reff d g Reff d Dynamic Power Switching power used to charge up load capacitance P dynamic = α F(1/) V DD Static Power Subthreshold leakage power when transistor is off P static = V DD I off Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 53

54 Power onsumption: ustom Approach P dynamic = α F (1/) V DD Reduce Activity lock gating so clock node of inactive logic doesn t switch Data gating so data nodes of inactive logic doesn t switch Bus encodings to minimize transitions Balance logic paths to avoid glitches during settling Reduce Frequency Doesn t save energy, just reduces rate at which it is consumed Lower power means less heat dissipation but must run longer Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 54

55 Power onsumption: ustom Approach P dynamic = α F (1/) V DD Reduce Switched apacitance areful transistor sizing (small transistors off critical path) Tighter layout (good floorplanning) Segmented tri-state bus structures Reduce Supply Voltage Need to lower frequency as well quadratic+ power savings an lower statically for cells off critical path an lower dynamically for just-in-time computation Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 55

56 Power onsumption: ustom Approach P static = V DD I OFF Reduce Supply Voltage In addition to dynamic power reduction, reducing Vdd can help reduce static power Reduce Off urrent Increase length of transistors off critical path Use high-vt cells off critical path (extra Vt increases fab costs) Use stacked devices Use power gating (ie switch off the power supply with a large transistor) Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 56

57 Power onsumption Reducing activity with clock gating Don t clock flip-flop if not needed Avoids transitioning downstream logic Enable adds control logic complexity P4 has hundreds of gated clock domains Global lock D Enable Latch (transparent on clock low) Gated Local lock Q lock Enable Latched Enable Gated lock Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 57

58 Power onsumption Reducing activity with data gating A B Shifter 1 Shifter infrequently used Adder 0 Shift/Add Select A B Shifter 1 Adder 0 ould use transparent latch instead of AND gate to reduce number of transitions, but would be bigger and slower Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 58

59 Power onsumption Reducing supply voltage Both static and dynamic voltage scaling is possible Delay rises sharply as supply voltage approaches Vt [ Source: Horowitz ] Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 59

60 Power onsumption Parallel architecture to reduce energy 8-bit adder/cmp 40MHz at 5V, area = 530 kµ Base power P ref Two parallel interleaved adder/cmp units 0MHz at.9v, area = 1,800 kµ (3.4x) Power = 0.36 P ref One pipelined adder/cmp unit 40MHz at.9v, area = 690 kµ (1.3x) Power = 0.39 P ref Pipelined and parallel 0MHz at.0v, area = 1,961 kµ (3.7x) Power = 0. P ref handrakasan et. al, IEEE JSS 7(4), April Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 60

61 Power onsumption: ASI Approach Minimize activity Automatic clock gating is possible if we write Verilog so tools can infer gating Partition designs so minimal number of components activated to perform each operation Floorplan units to reduce length of power-hungry global wires Use lowest voltage and slowest frequency necessary to reach target performance Use pipelined and parallel architectures if possible Modern standard cell libraries include low-power cells, high-vt cells, and low-vt cells tools can automatically replace non-critical cells to optimize for power Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 61

62 apacitive oupling: The Issue Delay is a function of switching on neighbors A B AB B Most of the wire capacitance is to neighboring wires If A switches then it injects voltage noise on where the magnitude depends on capacitive divider formed [ AB /( AB + B ) ] If A switches in opposite direction while B switches, coupling capacitance effectively doubles (Miller effect) If A switches in same direction while B switches, coupling capacitance disappears These effects can lead to large variance in possible delay of B driver, possibly factor of 5 or 6 between best and worst case Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 6

63 apacitive oupling ustom vs ASI Approach ustom Approach Avoid placing simultaneously switching signals next to each other for long parallel runs (use swizzling) Reroute signals which will be quiet during switching in between simultaneous switching signals Route signals close to power rails for capacitance ballast Extensive dynamic signal simulation ASI Approach Automatic routers can specifically avoid long straight routes, sometimes this causes the router to avoid the most direct route ritical nets (such as the clock) can use automatic shielding Static timing tools help focus dynamic signal simulation Fixing a coupling problem can require a point change which itself might cause new problems Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 63

64 Take away points Logical effort is a useful tool for quickly determining transistor sizing and number of stages It is essential to consider physical design issues early and often in ASI design Physical prototyping enables designers to evaluate impact of physical design issues early in the design process with Making logical partitioning match physical partitioning helps expose physical design tradeoffs at the RTL level Next Lecture: Arvind will introduce using guarded atomic actions to describe hardware Spring 006 L06 Managing Physical Design Issues in ASI Toolflows 64

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Itanium TM Processor Clock Design

Itanium TM Processor Clock Design Itanium TM Processor Design Utpal Desai 1, Simon Tam, Robert Kim, Ji Zhang, Stefan Rusu Intel Corporation, M/S SC12-502, 2200 Mission College Blvd, Santa Clara, CA 95052 ABSTRACT The Itanium processor

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

EE241 - Spring 2006 Advanced Digital Integrated Circuits

EE241 - Spring 2006 Advanced Digital Integrated Circuits EE241 - Spring 2006 Advanced Digital Integrated Circuits Lecture 20: Asynchronous & Synchronization Self-timed and Asynchronous Design Functions of clock in synchronous design 1) Acts as completion signal

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Why Power Matters PE/EE 47, PE 57 VLSI Design I L5: Power and Designing for Low Power Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka

More information

EE141. Administrative Stuff

EE141. Administrative Stuff -Spring 2004 Digital Integrated ircuits Lecture 15 Logical Effort Pass Transistor Logic 1 dministrative Stuff First (short) project to be launched next Th. Overall span: 1 week Hardware lab this week Hw

More information

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić Timing Issues January 2003 1 Synchronous Timing CLK In R Combinational 1 R Logic 2 C in C out Out 2

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 1 EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 2 Topics Clocking Clock Parameters Latch Types Requirements for reliable clocking Pipelining Optimal pipelining

More information

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković EE M26A.:. Fall 200 Lecture 5 Logical Effort Prof. Dejan Marković ee26a@gmail.com Logical Effort Recap Normalized delay d = g h + p g is the logical effort of the gate g = C IN /C INV Inverter is sized

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Lecture 8: Combinational Circuit Design

Lecture 8: Combinational Circuit Design Lecture 8: Combinational Circuit Design Mark McDermott Electrical and Computer Engineering The University of Texas at ustin 9/5/8 Verilog to Gates module mux(input s, d0, d, output y); assign y = s? d

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VII Timing Issues in Digital Circuits (chapter 10 in textbook) GMU, ECE 680 Physical VLSI Design 1 Synchronous Timing (Fig. 10 1) CLK In R Combinational 1 R Logic 2

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

EE115C Digital Electronic Circuits Homework #4

EE115C Digital Electronic Circuits Homework #4 EE115 Digital Electronic ircuits Homework #4 Problem 1 Power Dissipation Solution Vdd =1.0V onsider the source follower circuit used to drive a load L =20fF shown above. M1 and M2 are both NMOS transistors

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout 0/6/06 Homework # Lecture 8, 9: Sizing and Layout of omplex MOS Gates Reading: hapter 4, sections 4.3-4.5 October 3 & 5, 06 hapter, section.5.5 Prof. R. Iris ahar Weste & Harris vailable on course webpage

More information

Energy Delay Optimization

Energy Delay Optimization EE M216A.:. Fall 21 Lecture 8 Energy Delay Optimization Prof. Dejan Marković ee216a@gmail.com Some Common Questions Is sizing better than V DD for energy reduction? What are the optimal values of gate

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

Lecture 8-1. Low Power Design

Lecture 8-1. Low Power Design Lecture 8 Konstantinos Masselos Department of Electrical & Electronic Engineering Imperial College London URL: http://cas.ee.ic.ac.uk/~kostas E-mail: k.masselos@ic.ac.uk Lecture 8-1 Based on slides/material

More information

Lecture 6: Logical Effort

Lecture 6: Logical Effort Lecture 6: Logical Effort Outline Logical Effort Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary Introduction Chip designers face a bewildering array

More information

EECS 151/251A Homework 5

EECS 151/251A Homework 5 EECS 151/251A Homework 5 Due Monday, March 5 th, 2018 Problem 1: Timing The data-path shown below is used in a simple processor. clk rd1 rd2 0 wr regfile 1 0 ALU REG 1 The elements used in the design have

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Designing ombinational Logic ircuits dapted from hapter 6 of Digital Integrated ircuits Design Perspective Jan M. Rabaey et al. opyright 2003 Prentice Hall/Pearson 1 ombinational vs. Sequential Logic In

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

EE371 - Advanced VLSI Circuit Design

EE371 - Advanced VLSI Circuit Design EE371 - Advanced VLSI Circuit Design Midterm Examination May 7, 2002 Name: No. Points Score 1. 18 2. 22 3. 30 TOTAL / 70 In recognition of and in the spirit of the Stanford University Honor Code, I certify

More information

EE 330 Lecture 39. Digital Circuits. Propagation Delay basic characterization Device Sizing (Inverter and multiple-input gates)

EE 330 Lecture 39. Digital Circuits. Propagation Delay basic characterization Device Sizing (Inverter and multiple-input gates) EE 330 Lecture 39 Digital ircuits Propagation Delay basic characterization Device Sizing (Inverter and multiple-input gates) Review from last lecture Other MOS Logic Families Enhancement Load NMOS Enhancement

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Digital Microelectronic Circuits ( )

Digital Microelectronic Circuits ( ) Digital Microelectronic ircuits (361-1-3021 ) Presented by: Dr. Alex Fish Lecture 5: Parasitic apacitance and Driving a Load 1 Motivation Thus far, we have learned how to model our essential building block,

More information

L15: Custom and ASIC VLSI Integration

L15: Custom and ASIC VLSI Integration L15: Custom and ASIC VLSI Integration Average Cost of one transistor 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001 '68 '70 '72 '74 '76 '78 '80

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

EE M216A.:. Fall Lecture 4. Speed Optimization. Prof. Dejan Marković Speed Optimization via Gate Sizing

EE M216A.:. Fall Lecture 4. Speed Optimization. Prof. Dejan Marković Speed Optimization via Gate Sizing EE M216A.:. Fall 2010 Lecture 4 Speed Optimization Prof. Dejan Marković ee216a@gmail.com Speed Optimization via Gate Sizing Gate sizing basics P:N ratio Complex gates Velocity saturation ti Tapering Developing

More information

EE115C Digital Electronic Circuits Homework #6

EE115C Digital Electronic Circuits Homework #6 Problem 1 Sizing of adder blocks Electrical Engineering Department Spring 2010 EE115C Digital Electronic Circuits Homework #6 Solution Figure 1: Mirror adder. Study the mirror adder cell (textbook, pages

More information

Lecture 7: Logic design. Combinational logic circuits

Lecture 7: Logic design. Combinational logic circuits /24/28 Lecture 7: Logic design Binary digital circuits: Two voltage levels: and (ground and supply voltage) Built from transistors used as on/off switches Analog circuits not very suitable for generic

More information

Delay and Power Estimation

Delay and Power Estimation EEN454 Digital Integrated ircuit Design Delay and Power Estimation EEN 454 Delay Estimation We would like to be able to easily estimate delay Not as accurate as simulation But make it easier to ask What

More information

Where are we? Data Path Design

Where are we? Data Path Design Where are we? Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath Data Path Design

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Jan M. Rabaey nantha Chandrakasan orivoje Nikolić Designing Combinational Logic Circuits November 2002. 1 Combinational vs. Sequential Logic In Combinational

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan Chapter 7 Sequential Circuits Jin-Fu Li Advanced Reliable Systems (ARES) Lab. epartment of Electrical Engineering National Central University it Jungli, Taiwan Outline Latches & Registers Sequencing Timing

More information

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering CMOS logic gates João Canas Ferreira University of Porto Faculty of Engineering March 2016 Topics 1 General structure 2 General properties 3 Cell layout João Canas Ferreira (FEUP) CMOS logic gates March

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003 Interconnect (2) Buffering Techniques.Transmission Lines Lecture 12 18-322 Fall 2003 A few announcements Partners Lab Due Times Midterm 1 is nearly here Date: 10/14/02, time: 3:00-4:20PM, place: in class

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

The CMOS Inverter: A First Glance

The CMOS Inverter: A First Glance The CMOS Inverter: A First Glance V DD S D V in V out C L D S CMOS Inverter N Well V DD V DD PMOS 2λ PMOS Contacts In Out In Out Metal 1 NMOS Polysilicon NMOS GND CMOS Inverter: Steady State Response V

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

Dynamic operation 20

Dynamic operation 20 Dynamic operation 20 A simple model for the propagation delay Symmetric inverter (rise and fall delays are identical) otal capacitance is linear t p Minimum length devices R W C L t = 0.69R C = p W L 0.69

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

COMP 103. Lecture 16. Dynamic Logic

COMP 103. Lecture 16. Dynamic Logic COMP 03 Lecture 6 Dynamic Logic Reading: 6.3, 6.4 [ll lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] COMP03

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: 1st Order RC Delay Models. Review: Two-Input NOR Gate (NOR2)

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: 1st Order RC Delay Models. Review: Two-Input NOR Gate (NOR2) ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 14: March 1, 2016 Combination Logic: Ratioed and Pass Logic Lecture Outline! CMOS Gates Review " CMOS Worst Case Analysis! Ratioed Logic Gates!

More information

Clock Strategy. VLSI System Design NCKUEE-KJLEE

Clock Strategy. VLSI System Design NCKUEE-KJLEE Clock Strategy Clocked Systems Latch and Flip-flops System timing Clock skew High speed latch design Phase locked loop ynamic logic Multiple phase Clock distribution Clocked Systems Most VLSI systems are

More information

Hold Time Illustrations

Hold Time Illustrations Hold Time Illustrations EE213-L09-Sequential Logic.1 Pingqiang, ShanghaiTech, 2018 Hold Time Illustrations EE213-L09-Sequential Logic.2 Pingqiang, ShanghaiTech, 2018 Hold Time Illustrations EE213-L09-Sequential

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

Lecture 1: Gate Delay Models

Lecture 1: Gate Delay Models High Speed CMOS VLSI Design Lecture 1: Gate Delay Models (c) 1997 David Harris 1.0 Designing for Speed on the Back of an Envelope Custom IC design is all about speed. For a small amount of money, one synthesize

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

! Dynamic Characteristics. " Delay

! Dynamic Characteristics.  Delay EE 57: Digital Integrated ircuits and LI Fundamentals Lecture Outline! Dynamic haracteristics " Delay Lec : February, 8 MO Inverter and Interconnect Delay 3 Review: Propogation Delay Definitions Dynamic

More information

EE241 - Spring 2003 Advanced Digital Integrated Circuits

EE241 - Spring 2003 Advanced Digital Integrated Circuits EE241 - Spring 2003 Advanced Digital Integrated Circuits Lecture 16 Energy-Recovery Circuits SOI Technology and Circuits Optimal EDP Contours 1 Leakage and Switching ELk 2 = ESw Opt L ln d K tech α avg

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan Where are we? Data Path Design Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath

More information

CPE/EE 427, CPE 527 VLSI Design I Pass Transistor Logic. Review: CMOS Circuit Styles

CPE/EE 427, CPE 527 VLSI Design I Pass Transistor Logic. Review: CMOS Circuit Styles PE/EE 427, PE 527 VLI Design I Pass Transistor Logic Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: MO ircuit

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

Skew-Tolerant Circuit Design

Skew-Tolerant Circuit Design Skew-Tolerant Circuit Design David Harris David_Harris@hmc.edu December, 2000 Harvey Mudd College Claremont, CA Outline Introduction Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant Domino

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- pring 2004 Digital Integrated ircuits Lecture 19 Dynamic Logic - Adders (that is wrap-up) 1 Administrative tuff Hw 6 due on Th No lab this week Midterm 2 next week Project 2 to be launched week

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information