Energy Delay Optimization

Size: px
Start display at page:

Download "Energy Delay Optimization"

Transcription

1 EE M216A.:. Fall 21 Lecture 8 Energy Delay Optimization Prof. Dejan Marković ee216a@gmail.com Some Common Questions Is sizing better than V DD for energy reduction? What are the optimal values of gate size and V DD? What is the optimal ratio of leakage / switching for min E? Shall we increase or decrease V DD for energy reduction? What is the optimal circuit topology? How many levels of parallelism is good? Etc. EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 2 2

2 Energy Minimization Problem Goal: achieve the lowest energy under the delay constraint E max Energy Unoptimized design W V DD E min W & V DD W & V DD & V TH D min D max (f clk max ) (f clk min ) Delay EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 3 3 Energy Delay Sensitivity Slope of E D curve around a design point (e.g. (A,B )) E/ A S A = D/ A A = A Energy (A S,B ) A f(a,b ) S B D f(a,b) Delay [D. Markovic, V. Stojanovic, B. Nikolic, M.A. Horowitz,.W. Brodersen, JSSC, Aug 4] EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 4 4

3 Solution: Equal Sensitivities A fixed point is reached when all sensitivities are equal f(a 1,B) E = S A ( D) + S B D Energy (A,B ) D D f(a,b ) f(a,b) Delay EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 5 5 Circuit Optimization Constraints eference design D min V DD max, V TH Energy/op topology A topology B Delay Goal: find optimal E D tradeoff for a logic function EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 6 6

4 Alpha power based Delay Model Combined with Logical Effort Formulation (*) FO4 delay (norm.) simulation model V on =.37 V α d = V dd / V dd Fitting parameters V on, α d, K d Effective fanout. V DD = 1.2V, FO4 (V DD ) = 25ps (*) [Sutherland et al., Logical Effort, 1999] EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 7 7 Energy Model Switching energy Leakage energy with: D: the cycle time I (S in ): normalized leakage current with inputs in state S in EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 8 8

5 Adjusting Switching Energy of a Gate V dd, i V dd, i + 1 i i+1 Sizing W i W par,i W out Supply W i p nom,i W i W wire W i+1 = energy stored on the logic gate i EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 9 9 Optimization Setup eference/nominal circuit Sized for D V DD nom Define delay constraint t D con = D min (1 + d inc /1) En nergy erence Minimize energy under delay constraint V DD scaling (global, discrete, per stage) Gate sizing (W) Threshold adjustment (V TH ) Optional buffering D min Delay EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 1 1

6 Profitability of Optimization ( E/ D) Gate sizing (W) for equal h eff (D min ) Supply voltage (V DD ) S(V DD ) V DD V TH V DD Threshold voltage (V TH ) S(V TH ) V TH V TH EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Circuit Optimization Examples Inverter chain Memory decoder Branching Inactive gates Tree adder Long wires e convergent paths Multiple active outputs addr input m = 16 m = 4 n = n = 12 (A 15, B 15 ) predecoder 3 15 C W m = 2 n = 3 word driver m = 1 n = 255 C L C L S 15 word line (A, B ) C in S EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 12 12

7 Example: Inverter Chain Properties of inverter chain Single path topology Energy increases geometrically from input to output 1 W 1 =1 W 2 W 3 W N C L Goal Find optimal sizing W = [W 1, W 2,, W N ], supply voltage, and buffering strategy to achieve the best energy delay tradeoff EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Inverter Chain: Gate Sizing & Buffering effective fanou ut, h eff nom opt d inc = 5% 3% 1% 1% % stage [Ma, Franzon, IEEE JSSC, 9/94] Variable taper achieves minimum energy educe number of stages at large d inc EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 14 14

8 Inverter Chain: V DD Optimization nom V dd / V dd.8.2 d inc = 5% % nom opt stage effective fanou ut, h eff % 12 1% 3% nom opt d inc = 5% 3% 1% 1% % stage Variable taper achieved by voltage scaling V DD reduces energy of the final load first EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Inverter Chain: Optimization esults energy reduct tion (%) cw gvdd 2Vdd cvdd Sensitivity ( norm) cw gvdd 2Vdd d inc (%) d inc (%) Parameter with the largest sensitivity has the largest potential for energy reduction Two discrete supplies mimic per stage V DD EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 16 16

9 SAM Decoder Energy Profile Energy (nor rm) addr input m = 8 Internal energy peak predecoder word driver m = 4 m = 2 m = C W C L word line EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide W vs. V DD for educing Energy Peak energy cw: E (-52%) 2Vdd: E (-25%) E 8 7 d D min,7 +5% inc =1% 6 E 7-19% 4 D min,7 energy stage stage V DD less effective than W optimization Buffering also reduces energy peak [B. Amrutur, Ph.D. Thesis, Stanford, 8/99] EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 18 18

10 Tree Adder: Optimization esults eference: all paths are critical erence D min, E Sizing Opt. 1.1D min,.45e 2 V DD Opt. 1.1D min,.73e Internal energy W more effective than V DD For d inc = 1%: E W = 55%, E 2Vdd = 27% EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide A Look at Tuning Variables 1% excess delay 3 7% energy reduction 1 8 solid: inverter chain dashed: 8/256 decoder dotted: 64-bit adder (V th min ) Energy reduction (%) W V dd V th Sensitivity (V dd max ) (V th ) V th V dd W Delay increase (%) D / D Peak performance is very power inefficient! EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 2 2

11 Circuit Level esults: Tree Adder E/E 1.5 S W S Vth =.2 S W =22 S Vdd =1.5 1 S Vth =22 S Vdd =16 65%.5 S W =1 S Vth =1 S Vdd = D/D esult of joint (V DD, V TH, W) optimization: 65% of energy saved without delay penalty 25% better delay without energy cost [D. Markovic, V. Stojanovic, B. Nikolic, M.A. Horowitz,.W. Brodersen, JSSC, Aug 4] Limited range of efficient circuit optimization EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide A Look at Tuning Variables It is best when variables don t reach their boundary values V DD / V DD Supply voltage Threshold voltage reliability Sens(V DD ) = 5 limit Sens(W) = 1 Sens(V TH ) = Delay increment (%) V TH (mv) Limited range of tuning variables Delay increment (%) EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 22 22

12 A Look at Tuning Variables When a variable reaches bound, fewer variables to work with V DD / V DD Supply voltage Threshold voltage 1.75 reliability Sens(V DD ) = limit Sens(W) = Sens(V TH ) = V TH (mv) Sens(V TH ) = Sens(V( DD ) = 16 3 Sens(W) = Delay increment (%) Limited range of tuning variables Delay increment (%) EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Tree Adder: Joint Optimization energy reductio on (%) % 2Vdd + cw 2Vdd gvdd + cw gvdd cw d inc (%) energy reduction (% D min % nom V dd / V dd lure Device fai Choose a more efficient variable Higher V DD yields a lower energy solution EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 24 24

13 An Update: Slope Aware Delay Model LE overestimates delay Assumes equal slopes Add slope correction K i : gate &V V DD dependentd N g h g h D = gi hi + pi i= 1 Ki i i i 1 i 1 EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide esult: Adder Example 16 bits, output load: C L = 512 ff (total FO ~ 256) Logical Effort: largely overestimates non critical paths rnal power (µw) Inter A Synthesized Adder B Simulation LE with Slope Corr Synthesis Timing Original LE Model Matlab Optimized Adders Delay (normalized to the synthesized adder) [C.C. Wang, D. Markovic, TCAS-II, Aug 9] EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 26 26

14 Lessons from Circuit Optimization Sensitivity based optimization framework Equal marginal costs Energy efficient design To reduce energy, it is not always best to reduce V DD Effectiveness of tuning variables Sizing is the most effective for small delay increments Vdd is better for large delay increments Peak performance is VEY power inefficient About 7% energy reduction for 2% delay penalty Limited performance range of tuning variables Additional variables for higher energy efficiency EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Choosing Circuit Topology: Optimal egister? 64 bit ALU C in =2 E G C in =1 in b=8 Add C L =32 Cp D S Cp Cp (a) Cycle-latch (CL) Cycle latch (CL) Q Clk Clk Clk 1 Clk 1SS Q S Q D M M Clk Clk 1 Clk 1 Clk Clk 1 Clk (b) Static Master-slave Latch-pair (SMS) Static Master Slave Latch Pair (SMS) Given energy delay tradeoff for adder and register (two register options), what is the best energy delay tradeoff in the ALU? EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 28 28

15 Balancing Sensitivity Across Circuit Blocks Upsize lower activity blocks (Add) to save energy 2.5 ALU Add Energy (norm.) eg CL SMS Add CL SMS Delay (FO4) S Add = S eg Energy eg EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Micro Architectural Optimization E-D tradeoff par, t-mux Area E-D trade-off Vdd, Vth, W Macro Arch. Micro Arch. Circuit interleaving folding E parallel pipeline time-mux mux # of bits throughput algorithm delay cct topology EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 3 3 E W Vth Vdd

16 educing the Supply Voltage (while maintaining performance) Concurrency: trading off clock frequency versus area to reduce power f F1 eference design F2 : register, F1,F2: combinational logic (adders, ALUs, etc) [Chandrakasan et al., JSSC 92] C : average switching capacitance EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide A Parallel Implementation unning slower lowers required V DD (quadratic power reduction) F1 F2 f /2 F1 F2 Almost cancels f /2 EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 32 32

17 Parallelism Example (9nm CMOS) Power (assuming ov par = 7.5%) t p (norm.) V DD (norm.) From J. abaey (UCB) How many levels of parallelism? EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide The More Parallel the Better? Total Energy eference Parallel From J. abaey (UCB) Supply voltage, V DD Leakage and overhead start to dominate at high levels of parallelism, causing min E to increase Optimum voltage also increases with parallelism EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 34 34

18 Increasing use of Concurrency Saturates P Fixed Throughput Nominal design (no concurrency) Overhead + leakage Concurrency P min Only option: educe V TH as well! But: Must consider Leakage V DD From J. abaey (UCB) EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide A Pipelined Implementation Shallower logic reduces required supply voltage F1 F2 f f This example assumes equal V DD for par / pipe designs Assuming ov pipe = 1% EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 36 36

19 Mapping into the Energy Delay Space Energy delay for ALU realizations with varying parallelism E Op P = 3 P =4 P = 5 P = 2 erence Fixed throughput Minimum EDP Increasing parallelism (P) Delay = 1/Throughput Level of concurrency depends on target performance ule of thumb: If speed exceeds MEP point, add parallelism EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide E Op / nominal E Op Leakage is Not Necessarily a Bad Thing E Op for three architectures (, par, pipe) of ALU Set V TH, adjust V DD to maintain performance, plot E op 1.8 V th -18mV max.81v dd.6 V th -95mV max.4.57v dd Topology Inv Add Dec 2.2 nominal V -14mV th (E parallel max Lk /E Sw ) opt v pipeline dd Adapt to process and activity variations E Leakage /E Switching Optimal designs have high leakage (E Lk /E Sw.5)! EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 38 38

20 Parallelism and Pipelining in E D Space f A B A B f f erence (a) 2 A B A B f f f f (c) pipeline pipeline 2 parallel (b) parallel f Ene ergy/op erence It is important to link back to E D tradeoff parallel/pipeline Time/Op EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Time Multiplexing A f f f f A 2f 2f f f f f (a) erence for time-mux (b) time-multiplex erence A time mux Energ gy/op erence time mux For throughputs below min EDP: Absorb unused time slack by increasing Clk freq. (and V DD ) Again comes with some area and capacitance overhead Time/Op EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 4 4

21 Energy Area Tradeoff High throughput: Parallelism = Large Area b ALU Max Eop A = A = A A Ttarget Low throughput: Time Mux = Small Area EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Putting it All Together Balance logic depth (L d ) within a block, adjust latency to reach T Clk Apply V DD and W optimization to the underlying pipelines Micro Arch. (block) Circuit (datapath) Latency add Speed Power Area mult Ld W Energy Min delay S W =,S Vdd =2 W W V DD S W =S Vdd =2 V DD scaling Target delay S W =S Vdd <2 Cycle time (T Clk ) V DD Delay EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 42 42

22 Motivation for System Level Optimization Optimizations at the architecture or system level can enable more effective power minimization at the circuit level (while maintaining performance), such as Enabling a reduction in supply voltage educing the effective switching capacitance for a given function (physical capacitance, activity) educing the switching rates educing leakage Optimizations at higher abstraction levels tend to have greater potential impact While circuit techniques may yield improvements in the 1 5% range, architecture and algorithm optimizations have reported orders of magnitude power reduction EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide Some Energy Inspired Design Guidelines For maximum performance Maximize use of concurrency at the cost of area For given performance Optimal amount of concurrency for minimum energy For given energy Least amount of concurrency that meets performance goals For minimum energy Solution with minimum overhead (direct mapping between function and architecture) EEM216A.:. Fall 21 Lecture 8: Energy Delay D. Optimization Markovic / Slide 44 44

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković EE M26A.:. Fall 200 Lecture 5 Logical Effort Prof. Dejan Marković ee26a@gmail.com Logical Effort Recap Normalized delay d = g h + p g is the logical effort of the gate g = C IN /C INV Inverter is sized

More information

Lecture 5. Logical Effort Using LE on a Decoder

Lecture 5. Logical Effort Using LE on a Decoder Lecture 5 Logical Effort Using LE on a Decoder Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 00 by Mark Horowitz Overview Reading Harris, Logical Effort

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 17: March 23, 2017 Energy and Power Optimization, Design Space Exploration, Synchronous MOS Logic Lecture Outline! Energy and Power Optimization

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1 ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 20, 2018 Energy and Power Optimization, Design Space Exploration Lecture Outline! Energy and Power Optimization " Tradeoffs! Design

More information

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Elad Alon 1, Tsu-Jae King Liu 1, Vladimir Stojanovic 2, Dejan Markovic 3 1 University of California, Berkeley 2 Massachusetts

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEMORY INPUT-OUTPUT CONTROL DATAPATH

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 19: Adder Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L19

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

EE M216A.:. Fall Lecture 4. Speed Optimization. Prof. Dejan Marković Speed Optimization via Gate Sizing

EE M216A.:. Fall Lecture 4. Speed Optimization. Prof. Dejan Marković Speed Optimization via Gate Sizing EE M216A.:. Fall 2010 Lecture 4 Speed Optimization Prof. Dejan Marković ee216a@gmail.com Speed Optimization via Gate Sizing Gate sizing basics P:N ratio Complex gates Velocity saturation ti Tapering Developing

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Designing Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B Using MOS Models C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 5.4 W&H 4.2 Background In the past two lectures we have reviewed the iv and CV curves for MOS devices, both

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics CMO Design Multi-input delay analysis pring 25 Transmission Gate OUT Z OUT Z pring 25 Transmission Gate OUT When is low, the output is at high impedance When is high, the output follows However,

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 18 CMOS Sequential Circuits - 1 guntzel@inf.ufsc.br

More information

EECS 151/251A Homework 5

EECS 151/251A Homework 5 EECS 151/251A Homework 5 Due Monday, March 5 th, 2018 Problem 1: Timing The data-path shown below is used in a simple processor. clk rd1 rd2 0 wr regfile 1 0 ALU REG 1 The elements used in the design have

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain - Spring 2003 Lecture 8 Power Inverter Chain Announcements Homework 3 due today. Homework 4 will be posted later today. Special office hours from :30-3pm at BWRC (in lieu of Tuesday) Today s lecture Power

More information

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip Flops M. Ghasemazar, B. Amelifard, M. Pedram University of Southern California Department of Electrical Engineering

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEM ORY INPUT-OUTPUT CONTROL DATAPATH

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 21: Shifters, Decoders, Muxes [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006 Managing Physical Design Issues in ASI Toolflows 6.375 omplex Digital Systems hristopher Batten February 1, 006 Managing Physical Design Issues in ASI Toolflows Logical Effort Physical Design Issues lock

More information

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution ELEC516 Digital VLSI System Design and Design Automation (spring, 010) Assignment 4 Reference solution 1) Pulse-plate 1T DRAM cell a) Timing diagrams for nodes and Y when writing 0 and 1 Timing diagram

More information

Dynamic operation 20

Dynamic operation 20 Dynamic operation 20 A simple model for the propagation delay Symmetric inverter (rise and fall delays are identical) otal capacitance is linear t p Minimum length devices R W C L t = 0.69R C = p W L 0.69

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL LOGIC

More information

EE141- Spring 2007 Digital Integrated Circuits

EE141- Spring 2007 Digital Integrated Circuits EE141- Spring 27 igital Integrated Circuits Lecture 19 Sequential Circuits 1 Administrative Stuff Project Ph. 2 due Tu. 5pm 24 Cory box + email ee141- project@bwrc.eecs.berkeley.edu Hw 8 Posts this Fr.,

More information

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 1 EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 2 Topics Clocking Clock Parameters Latch Types Requirements for reliable clocking Pipelining Optimal pipelining

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Naming Conventions In our text: a latch is level sensitive

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning i Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 14 The CMOS Inverter: dynamic behavior (sizing, inverter

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

Lecture 4: Implementing Logic in CMOS

Lecture 4: Implementing Logic in CMOS Lecture 4: Implementing Logic in CMOS Mark Mcermott Electrical and Computer Engineering The University of Texas at ustin Review of emorgan s Theorem Recall that: () = + and = ( + ) (+) = and + = ( ) ()

More information

EE241 - Spring 2003 Advanced Digital Integrated Circuits

EE241 - Spring 2003 Advanced Digital Integrated Circuits EE241 - Spring 2003 Advanced Digital Integrated Circuits Lecture 16 Energy-Recovery Circuits SOI Technology and Circuits Optimal EDP Contours 1 Leakage and Switching ELk 2 = ESw Opt L ln d K tech α avg

More information

Skew-Tolerant Circuit Design

Skew-Tolerant Circuit Design Skew-Tolerant Circuit Design David Harris David_Harris@hmc.edu December, 2000 Harvey Mudd College Claremont, CA Outline Introduction Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant Domino

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Design and Optimization of Low-power CMOS Logic Using. Logical Effort Model with Slope Correction

Design and Optimization of Low-power CMOS Logic Using. Logical Effort Model with Slope Correction UNIVERSITY OF CALIFORNIA Los Angeles Design and Optimization of Low-power CMOS Logic Using Logical Effort Model with Slope Correction A thesis submitted in partial satisfaction of the requirements for

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

EE371 - Advanced VLSI Circuit Design

EE371 - Advanced VLSI Circuit Design EE371 - Advanced VLSI Circuit Design Midterm Examination May 7, 2002 Name: No. Points Score 1. 18 2. 22 3. 30 TOTAL / 70 In recognition of and in the spirit of the Stanford University Honor Code, I certify

More information

Next, we check the race condition to see if the circuit will work properly. Note that the minimum logic delay is a single sum.

Next, we check the race condition to see if the circuit will work properly. Note that the minimum logic delay is a single sum. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Last modified on May 1, 2003 by Dejan Markovic (dejan@eecs.berkeley.edu) Prof. Jan Rabaey EECS

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

MODULE 5 Chapter 7. Clocked Storage Elements

MODULE 5 Chapter 7. Clocked Storage Elements MODULE 5 Chapter 7 Clocked Storage Elements 3/9/2015 1 Outline Background Clocked Storage Elements Timing, terminology, classification Static CSEs Latches Registers Dynamic CSEs Latches Registers 3/9/2015

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

Lecture 6: Logical Effort

Lecture 6: Logical Effort Lecture 6: Logical Effort Outline Logical Effort Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary Introduction Chip designers face a bewildering array

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 3 Circuit Optimization for Speed Announcements Tu 2/8/00 class will be pre-taped on Friday, 2/4, 4-5:30 203 McLaughlin Class notes are available

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

Designing Sequential Logic Circuits

Designing Sequential Logic Circuits igital Integrated Circuits (83-313) Lecture 5: esigning Sequential Logic Circuits Semester B, 2016-17 Lecturer: r. Adam Teman TAs: Itamar Levi, Robert Giterman 26 April 2017 isclaimer: This course was

More information

GMU, ECE 680 Physical VLSI Design

GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison Introduction to Computer Engineering CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison Chapter 3 Digital Logic Structures Slides based on set prepared by

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Hw 6 due Thursday, Nov 3, 5pm No lab this week

Hw 6 due Thursday, Nov 3, 5pm No lab this week EE141 Fall 2005 Lecture 18 dders nnouncements Hw 6 due Thursday, Nov 3, 5pm No lab this week Midterm 2 Review: Tue Nov 8, North Gate Hall, Room 105, 6:30-8:30pm Exam: Thu Nov 10, Morgan, Room 101, 6:30-8:00pm

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan Chapter 7 Sequential Circuits Jin-Fu Li Advanced Reliable Systems (ARES) Lab. epartment of Electrical Engineering National Central University it Jungli, Taiwan Outline Latches & Registers Sequencing Timing

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

Where are we? Data Path Design

Where are we? Data Path Design Where are we? Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath Data Path Design

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

Datapath Component Tradeoffs

Datapath Component Tradeoffs Datapath Component Tradeoffs Faster Adders Previously we studied the ripple-carry adder. This design isn t feasible for larger adders due to the ripple delay. ʽ There are several methods that we could

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Jan M. Rabaey nantha Chandrakasan orivoje Nikolić Designing Combinational Logic Circuits November 2002. 1 Combinational vs. Sequential Logic In Combinational

More information

Clock Strategy. VLSI System Design NCKUEE-KJLEE

Clock Strategy. VLSI System Design NCKUEE-KJLEE Clock Strategy Clocked Systems Latch and Flip-flops System timing Clock skew High speed latch design Phase locked loop ynamic logic Multiple phase Clock distribution Clocked Systems Most VLSI systems are

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

Slides for Lecture 19

Slides for Lecture 19 Slides for Lecture 19 ENEL 353: Digital Circuits Fall 2013 Term Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary 23 October, 2013 ENEL 353

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

Lecture 8-1. Low Power Design

Lecture 8-1. Low Power Design Lecture 8 Konstantinos Masselos Department of Electrical & Electronic Engineering Imperial College London URL: http://cas.ee.ic.ac.uk/~kostas E-mail: k.masselos@ic.ac.uk Lecture 8-1 Based on slides/material

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

Sequential Logic Worksheet

Sequential Logic Worksheet Sequential Logic Worksheet Concept Inventory: Notes: D-latch & the Dynamic Discipline D-register Timing constraints for sequential circuits Set-up and hold times for sequential circuits 6.004 Worksheet

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Dynamic Logic Introduction Digital IC EE141 2 Dynamic logic outline Dynamic logic principle Dynamic logic

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 17: March 26, 2019 Energy Optimization & Design Space Exploration Penn ESE 570 Spring 2019 Khanna Lecture Outline! Energy Optimization! Design

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

Digital Integrated Circuits 2nd Inverter

Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits The Inverter The CMOS Inverter V DD Analysis Inverter complex gate Cost V in V out complexity & Area Integrity and robustness C L Static behavior Performance Dynamic response

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

COMP 103. Lecture 16. Dynamic Logic

COMP 103. Lecture 16. Dynamic Logic COMP 03 Lecture 6 Dynamic Logic Reading: 6.3, 6.4 [ll lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] COMP03

More information

CMPEN 411. Spring Lecture 18: Static Sequential Circuits

CMPEN 411. Spring Lecture 18: Static Sequential Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 18: Static Sequential Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Lecture 4: CMOS review & Dynamic Logic

Lecture 4: CMOS review & Dynamic Logic Lecture 4: CMOS review & Dynamic Logic Reading: ch5, ch6 Overview CMOS basics Power and energy in CMOS Dynamic logic 1 CMOS Properties Full rail-to-rail swing high noise margins Logic levels not dependent

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information