Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS

Size: px
Start display at page:

Download "Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS"

Transcription

1 Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Kaushik Roy S. Mukhopadhyay, H. Mahmoodi, A. Raychowdhury, Chris Kim, S. Ghosh, K. Kang School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN

2 Process Variation in Nano-scale Transistors 1.4 Normalized Frequency % 5X 130nm Source: Intel Normalized Leakage (Isb( Isb) Delay and Leakage Spread Inter and Intra-die Variations Mean Number of Dopant Atoms Source: Intel Technology Node (nm) Random dopant fluctuation Device parameters are no longer deterministic

3 Process Variations: Failures, Test, Self- Calibration, and Self Repair Memories (Caches and Register Files) Failure analysis Updated March Test for process induced failures Process/Defect tolerant caches Self-Repairing SRAM s Leakage and delay sensors for self-repair Logic Failure analysis in pipelines and robust pipeline design Delay sensor to measure critical path delays and integrated test generation for robust segment delay coverage Updated scan chain logic FLH and FLS (First level hold and scan flip-flops) for lowpower (dynamic and leakage) and efficient delay testing Temperature control to prevent thermal runaway during burn-in

4 SRAM Memory Cell Failure Process variation: Device miss-match Cell failure Primary source: Random dopant fluctuation V th miss-match Results in three types of failures AF: Access time failure RF: Read failure WF: Write failure HF: Hold failure T ACCESS > T MAX VL Flipping VR No writing VL VR AF: Access time failure RF: Read failure WF: Write failure

5 Mechanisms of Parametric Failures BL Access Failure Read Failure Voltage WL ΔMIN BR Voltage WL V R V L Time -> Time -> Write Failure Hold Failure Voltage WL V L V R Voltage V DDH V R V L Time -> Time ->

6 Read Failure (RF) WL Monte Carlo Gaussian Model VDD 700 AXL PL V L = 1 PR V R = 0 AXR # of occurance NL NR BL I = I dsataxr I dlinnr dsatnl Subthreshold leakage ( I sub ) Gate leakage ( I gd ) Junction leakage ( I jn ) RF ( V ) P = P V > READ Solve for V READ TRIPRD ( VTRIPRD, VTRIPRD, gnd ) IdsatPL ( VTRIPRD, VTRIPRD, VDD P RF = P [ Z ( V V ) > 0] where, η = η η and σ = σ + σ Z V READ READ BR V TRIPRD TRIP Z = 1 F 2 V READ ) Solve for V TRIPRD Z (0) 2 V TRIPRD V READ [V] Distribution of V READ

7 Write Failure (WF) WL VDD Monte Carlo Gaussian Model Non Central F Model AXL V L = 1 NL PL V R = 0 NR PR AXR # of occurance T WL The write failures mostly comes from the long tail of the distribution. Non Central F distribution matches the tail of the distribution better. 100 Subthreshold leakage ( I sub ) 50 BL Gate leakage ( I gd ) Junction leakage ( I jn ) BR Twrite [ps] T I WRITE in( L) VTRIP CL( VL ) dv = I V in( L) ( VL ) I DD ; = current into L I, I dspl out( L) WF ( T ) P = P T > L ( V out( L) L ; if ( V ) if ( V WR WR < V V TRIPWR TRIPWR = current out of L I WRITE ) ) dsaxl P WF WL = t WR = T f WL WR ( twr) d( twr) = 1 FWR( TWL)

8 Hold Failure (HF) MODEL MEDICI Variation in δvt NR, δvt NL, δvt PL, δvt PR Monte Carlo Gaussian Model V DDMin at HOLD (V HOLD [V]) Variation in δvt NL, δvt PL Variation in δvt NR, δvt PR # of occurance V HOLD ΔVt [V] V DDHmin [V] V L Variation of and Distribution of V DDHmin HF ( V ) P = P V > DDHmin HOLD ( V δ Vt, δvt ) V ( V, δvt, δvt ) DDHmin, PL NL = TRIP DDHmin PR NR Solve for V DDHmin P HF = V f HOLD VDDHmin ( vddhmin) d( vddhmin) = 1 FVDDHmin( VHOLD)

9 Access Time Failure (AF) T ACCESS [ps] ΔVt applied to N R only (δvt NR ) ΔVt applied to AX R only (δvt AXR ) MODEL MEDICI # of occurance Distribution of T ACCESS Monte Carlo Gaussian Model T MAX ΔVt [V] Taccess [ps] AF Variation and distribution of T ACCESS with variation in δvt P = P > P AF ( TACCESS TMAX = t ACCESS f TACCESS = T MAX ) T ACCESS = C C I BL BR CBLΔ C BR MIN BR I BL = I dsataxr ( taccess ) d( taccess ) = 1 FTACCESS ( TMAX ) C B Δ MIN I subaxl ( i) i= 1,.., N

10 Basic Modeling Approach Estimation of the mean and the variance of a function several independent normal random variable T ACCESS = = f f ( V ( η Expand f in Taylor series with respect to Vt 1,,Vt 6 around their mean and consider up to 2 nd order terms. Estimate the mean and the variance as: Mean ( T t1 Vt 1, V ACCESS Variance ( T t 2, η,..., Vt 2 ) = ACCESS,..., η f ( η ) V = t 6 ) Vt 6 Vt1 ( f V ti ) i= 1,...,6,..., η ( 2 2 f V ) Estimation of the probability distribution function of Y Assume a normal pdf with the estimated mean and variance. The δvt of each transistors are assumed to be independent normal random variables. σ Vt α 1 ) + Vt6 i = 1,.., 6 ) + ( ) LW ( f i= 1,...,6 σ 2 Vti / V ti )( V ti ti η σ 2 Vti Vti ) +...

11 Estimation of Overall SRAM Cell F Failure Probability (P F ) [ ] P[ A R W H ] P = P Fail = U F F F F PASS AF WF Fail RF HF 1-P F P F

12 Estimation of Memory Failure Probability (P MEM ) P COL : Probability that any of the cells in a column fails P MEM : Probability that more than N RC (# of redundant columns) fail P COL = 1 (1 P F ) N and P MEM = N i= N COL RC + 1 N i COL P i COL ( 1 P ) COL N COL i P MEM Redundant Columns P F P COL

13 Estimation of Yield Select inter-die parameters (L, W, Vt) Find P F N INTER times Find P COL Find P MEM Find Yield Yield = 1 PMEM ( LINTER, WINTER, VtINTER ) N INTER INTER N INTER : the total number of inter-die Monte- Carlo simulations (i.e. total number of chips)

14 Snapshot: Transistor Sizing and Yield Yield 100% 80% 60% 40% 20% 0% 27% 76% 87% W N W A Proposed failure analysis assists SRAM designers to achieve maximum memory yield 65% WA/ WN

15 Parametric Failures in SRAM Cell AXL BL High-Vt PL NL PR NR WL BR Low-Vt AXR Transistor mismatch => Parametric failures Read failure Write failure Access failure Hold failure Chip Count Yield 33% Fault statistics σ Vt 30mv, using BPTM 45nm technology Number of faulty cells (N( Faulty Faulty-Cells ) Parametric Failures => Yield degradation 1049

16 SRAM Failure Mechanisms and Logic Fault Models Random Dopant Fluctuations; W, L, Tox Variations Process Variations Instability in SRAM cells Vt mismatch in Sense-amplifiers Delay variations in address decoders Circuit Level Deviations Hold Failure Access Failure Sense-amp Functional Failure Write Failure Flipping Read Failure Physical Failure Mechanisms Low Supply Data Retention Fault Data Retention Fault Random Read Fault Incorrect Read Fault Transition Fault Read Destructive Fault Deceptive Read Destructive Fault Logic Fault Models Deceptive read destructive faults are overlooked in conventional test sequences Hold failures not detectable in conventional test sequences

17 Efficient Testing of SRAM * Failures due to Process Variations Contributions Challenges Fault Coverage of Existing Test Sequences Optimized Test Sequences to improve fault coverage Test Time of Existing Test Sequences Novel DFT Circuit to reduce test time * IEEE VLSI Test Symposium,, May 2005

18 Test: Optimized March Test Sequence 1. Optimized March C- ( W0) ( R0 W1) ( R1 W0) ( R0 W1) (HOLD) ( R1 R1 W0) (HOLD) ( R0 R0) + Good fault coverage - Test time increases 2. March Q ( W0) (HOLD) ( R0 W0 W1 R1) (HOLD) ( R1 W1 W0 R0) ( R0) + Reduce the test time + Cover all the fault models induced by process variations - Not able to detect Transition Coupling Fault and Address Decoder Fault

19 March test sequence comparison Logic Fault Models Conventional Test Sequences Proposed Sequences March C- March B March SR Opt. March C- March Q Address Decoder Fault Data Retention Fault Low Supply Data Retention Fault Stuck-at Fault Transition Fault Random Read Fault Read Destructive Fault Deceptive Read Destructive Fault Incorrect Read Fault State Coupling Fault Disturb Coupling Fault Incorrect Read Coupling Fault Read Destructive Coupling Fault Transition Coupling Fault Test Time 10N 17N 14N 12N 10N

20 Double Sensing: A Novel DFT Circuit to Reduce Test Time In order to reduce test time, double sensing is used to detect Deceptive Read Destructive Fault in one cycle However, the WL can NOT be extended too long for test The The since WL content can in normal be of extended the mode cell flips so the as after for memory the the WL flipping should is to show still operates itself activated. on bitlines at the designed frequency. Disturbance Another No time parallel left is to generated sense-amp detect the during flipping is fired the since at the test the time to accelerate the WL is the dis-activated. development WL is disabled of the soon bitline after differential that. voltage. Read Access Timing 900m 800m 700m 600m 500m 400m 300m 200m 100m 0 WL VL VR 900m BL 800m 700m 600m 600m 500m 500m 400m 400m 300m 300m 200m 200m 100m 100m 0 SE 0 WL WL VL VL BL BL BR VR VR SE1 SE1 BR WL Already extended discharged bitline is pulled up The flipping is sensed. SE2 SE2 Then the required WL extension time is minimized.

21 Parametric Failures and Yield P MEM Redundant Columns Inter-die Variation P F SRAM die with a global shift in process parameter Failure Probability P COL Hold Failure Read Failure Access Failure Write Failure Std. dev. of intra-die variation Intra-die Variation => Cell, Column and Memory failure PMEM Yield = f ( Inter- die Variation) # Non- Faulty Die = # Total Die

22 What is the effect of Inter-die variation on Parametric Failures?

23 Inter-die Variation and Cell Failure LVT Nom. Vt HVT RF/HF high Read (RF) AF/WF high Access (AF) Hold (HF) Write (WF) Inter-die shift in process parameter amplifies the failure due to intra-die variations.

24 Inter-die Variation and Memory Failure LVT Nom. Vt HVT Reg. A High RF/HF Reg. B Low Failures Col. Fail. Prob. Reg. C High AF/WF Cell. Fail. Prob. Mem. Fail. Prob. Memory failure probabilities are high at high when interdie (global) shift in process is high.

25 How can we improve yield considering both inter-die and intra-die variations?

26 Adaptive Repairing of SRAM Array LVT Nom. Vt HVT Region A LVT Corner Region A Region B Region C P MEM 1 P MEM 1 Region C HVT Corner Read & Hold failures dominate Mem. Fail. Probability Access & Write failures dominate Reduce RF & HF P MEM 0 Reduce AF & WF Reduce the dominant failures at different inter-die corners to increase width of low failure region.

27 How can we reduce the dominant failures at different inter-die corners?

28 Body Bias and Parametric Failures WL VDD Overall Cell Fail. Prob Write (WF) Read (HF) Access (AF) BL GND VBB BR Hold (HF) Proper body bias can reduce parametric failures Forward bias reduces Access & Write failures Reverse bias reduces Read & Hold failures

29 Adaptive Repair using Body Bias LVT Nom. Vt HVT Region A LVT Corner Read & Hold failures dominate Region A Region B Region C RBB ZBB Mem. Fail. Probability FBB Region C HVT Corner Access & Write failures dominate Apply RBB P MEM 0 Apply FBB Reduce the dominant failures at different inter-die corners to increase width of low failure region.

30 Self-Repair Technique in SRAM SRAM Array Pre-Silicon Design of Circuit and Architecture Post-Silicon Adaptive Repair Separation of inter-die process corners Vt Binning Adaptive Repair using Body Bias Self-Repairing SRAM Enhanced Yield

31 How we can identify the inter-die Vt corner under a large random intradie variation? Monitor circuit parameters e.g. delay and leakage Effect of inter-die variation can be masked by that of intra-die variation Adding a large number of random variables reduces the effect of intra-die variation Y σ => μ = μ = μ σ = σ = σ = = n i= 1 n n Y X Y Xi N X & Y Xi N X=> i= 1 i= 1 μy N μx X i 1 σ

32 Vt Binning by Leakage Monitoring WL VDD BL GND BR ΔVt Inter = 100mV High Vt Nom. Vt Low Vt ΔVt Inter = 0mV ΔVt Inter = -100mV ΔVt Inter = -100mV ΔVt Inter = 0mV ΔVt Inter = -100mV

33 Self-Repair using Leakage Monitoring V DD Bypass Switch Calibrate Signal On-chip Leakage Monitor V out V REF1 V REF2 Comparator SRAM ARRAY V OUT SRAM Array Body bias Body-Bias Generator LVT V REF1 On-chip monitoring of leakage of entire array Body-bias is generated based on leakage monitor output Leakage monitored is bypassed in normal operating mode Nom. Vt V REF2 HVT Nom. Vt LVT Current Monitor Circuit

34 Yield Enhancement using Self-Repair 265KB Self-Repairing SRAM 265KB SRAM with No Body-Bias Self-Repairing SRAM using body-bias can significantly improve design yield.

35 Self-Repairing SRAM: Die Photo Self-Repair Circuit 64KB High-Vt SRAM 64KB Low-Vt SRAM

36 Schematic and measurement of self-repair mechanisms FBB signal Body voltage (Zero bias Body voltage (Forward Bias) Schematic of the Self-repairing SRAM Measured waveform of body voltage for Forward bias with On-chip FBB generator RBB signal Body voltage (Zero bias Body voltage (Reverse Bias) Bodybias generation logic (MUX switches are designed with level converter for negative bias, not shown here) Measured waveform of body voltage for 36 Reverse bias with On-chip RBB generator

37 Design and Measurement of Current Sensor Sensor Output [V] 2 Conv. design with 1.8 diode load in LVT Proposed design => Higher changre in Vout with process change Design with diode load => Lower change in Vout with process change Proposed design with LVT Conv. design with diode load in HVT Proposed design with HVT Temperature [C] sensor output with temperature and Vt change (simulation 0.13μm) # of occurance Proposed design in HVT Conv. design with diode load in HVT Proposed design in LVT Conv. design with diode load in LVT Sensor Output [V] Effect of intra-die variation on sensor output (simulation 0.13μm) Sensor Output [V] > Different symbols represent data from different chips Current Sensor Circuit Leakage Current [ua] > Measured current sensor output attached to the 64KB LVT array 37

38 P Fault = P AF U P RF U P WF In 45nm technology σ Vth 30mV P Fault > 1.0x10-3 Large number of faulty cells in nano-scale SRAM under process variation Failure Probability in SRAM Memory Cells Probability of Failure P AF P RF P WF P Fault MONTE CARLO simulation using BPTM 45nm tech σ Vth ( mv) Intrinsic Fluctuation of V th due to random dopant effect

39 Chip Count (Nchip) Fault Statistics in 32K Cache Conv. Yield 33.4% Fault statistics σ Vt 30mv, using BPTM 45nm technology MONTE CARLO simulation of 1000 chips N Faulty-Cells N Faulty-Cells = P Fault X N Cells (total number of cells in a cache) Conventional 32K cache results in only 33.4% yield Need a process/fault-tolerant mechanisms to improve the yield in memory

40 Basic Cache Architecture Index = Row Address + Column Address Multiple cache blocks are stored in a single row Minimize delay, area, routing complexity Column MUX selects one block

41 Basic Cache Architecture BPTM 45nm technology, 32KByte direct mapped cache # of Block in a Row 1 Block 2 Blocks 4 Blocks Decoder Delay 0.086ns 0.085ns Wordline Delay 0.069ns 0.075ns 0.128ns Bitline to Q Delay 0.452ns 0.355ns 0.313ns Total Delay 0.608ns 0.515ns 0.525ns Energy 0.166nJ 0.181nJ 0.195nJ For 32K cache best # of cache blocks/row = 2 We choose 4 blocks in a row for our design Results in higher yield 16.25% increase 2% cache access penalty 7% energy overhead

42 Fault-Tolerant Cache Architecture BIST detects the faulty blocks Config Storage stores the fault information Idea is to resize the cache to avoid faulty blocks during regular operation Faulty

43 Handle large number of faults without significantly reducing the cache size Resizing the Cache Config Storage is accessed in parallel with cache 00 Feeds the fault information to controller Controller alters the column address Force the column MUX to select a non-faulty block in the same row if the accessed block is faulty

44 Mapping Issue More than one INDEX are mapped to same block Include column address bits into TAG bits Resizing is transparent to processor same memory address

45 Config Storage Cache 32KByte Config Storage 1Kbit Blocks per row 4 x Block Size 32Byte 4bit Accessed in parallel with cache 4 bit fault information about 4 blocks stored in a single cache row One bit fault information per cache block Bits are determined by BIST at the time of testing Accessed using row address part of INDEX Provides the fault information of all the blocks in a cache row to controller

46 Controller Column address selection based on fault location Faulty Blocks in Accessed Row Fault Information by Config Storage Accessed Column Address Forced Column Address None rd Block nd &3 rd Block st, 2 nd & 3 rd Block All four Blocks 1111 NA NA NA NA Based on 4 bits read from Config Storage controller alters the column address

47 Controller Column address selection based on fault location Faulty Blocks in Accessed Row Fault Information by Config Storage Accessed Column Address Forced Column Address None rd Block nd &3 rd Block st, 2 nd & 3 rd Block All four Blocks 1111 NA NA NA NA One block in a row is faulty Selects the first available non-faulty block e.g 3 rd block 1 st block

48 Controller Column address selection based on fault location Faulty Blocks in Accessed Row Fault Information by Config Storage Accessed Column Address Forced Column Address None rd Block nd &3 rd Block st, 2 nd & 3 rd Block All four Blocks 1111 NA NA NA NA Two blocks in a row is faulty Selects two non-faulty blocks respectively e.g 2 nd block 1 st block 3 rd block 4 th block

49 Controller Column address selection based on fault location Faulty Blocks in Accessed Row Fault Information by Config Storage Accessed Column Address Forced Column Address None rd Block nd &3 rd Block st, 2 nd & 3 rd Block All four Blocks 1111 NA NA NA NA Three blocks in a row is faulty All the blocks are mapped to non-faulty block, e.g 4 th block One non-faulty block in each row, this architecture can correct any number of faults

50 Energy, Performance, and Area Overhead of Config Storage and Controller BPTM 45nm technology, 32KByte Cache, 1Kbit Config Storage Energy and Performance 32KB Cache Config Storage & Controller Delay (ns) Area overhead NA 0.5% Energy overhead NA 1.8% Controller changes the column address before data reaches at column MUX Does not affect the cache access time Negligible energy and area overhead (excluding BIST)

51 Results: P op (ECC, Redundancy and Proposed Scheme) P op : Probability that a chip with N Faulty-cells can be made operational Faults are randomly distributed across chip Yield is defined as: Y chip = 1 N Tot N P Faulty _ Cell op ( N Faulty _ Cell )* N Each scheme add some extra storage space P op includes the probability of having faults in these blocks ( N Faulty _ Cell To consider area, yield is redefined as: Y eff chip = Y chip A A chip chip _ without _ any _ scheme chip _ with _ fault _ tolerant _ scheme )

52 Results: P op Faulty cells Redundency R = 32 ECC 1bit Proposed Architecture Pop Prop. 65% ECC 6% N Faulty-Cells % of the chips with 105 faulty cells which can be saved by Proposed scheme ~ 65% (high fault tolerant capability) ECC ~ 6% Redundancy ~ 0%

53 Results: P op Pop Adding redundant rows (r) in config storage r=0 r=1 r=2 r=3 r= N Faulty-Cells P op improves with redundant rows in config storage r = 2 is optimum for 32K cache with 1Kbit config storage

54 Results: P op 1.0 Pop R=0 r=2 R=8 r=2 R=16 r=2 Proposed architecture with redundancy N Faulty-Cells Adding redundant rows (R) in cache in proposed scheme improves the P op further (optimum is R =8 for 32K cache)

55 % Yield Effective Yield of 32K Cache Optimum r = 2 Proposed Arch. Yield without any Redundancy Redundent Rows in Config Storage (r) 89 Proposed Architecture % Yield 100 ECC + Redundancy yield ~ 77% Conv. Yield Proposed Architecture with r = 2 ECC Redundency Redundent Rows in Cache (R) Proposed architecture + Redundancy yield ~ 93% (with 2 blocks in a cache row yield ~ 80%)

56 Chip Count (Nchip) Fault Tolerant Capability Fault statistics Chips saved by the proposed + redundancy (R=8, r=2) Chips saved by ECC + redundancy ( R=8) More number of saved chips as compare to ECC ECC fails to save any chips N Faulty-Cells Proposed architecture can handle more number of faulty cells than ECC, as high as 419 faulty cells Saves more number of chips than ECC for a given N Faulty-Cells

57 Chip Count (Nchip) Process Tolerance: Fault Statistics in 64K Cache Conv. Yield 33.4% Fault statistics σ Vt 30mv, using BPTM 45nm technology N Faulty-Cells N Faulty-Cells = P Fault X N Cells (total number of cells in a cache) Conventional 64K cache results in only 33.4% yield Need a process/fault-tolerant mechanisms to improve the yield in memory

58 Process-Tolerant Cache Architecture BIST detects the faulty blocks Config Storage stores the fault information Resize the cache to avoid faulty blocks during regular operation

59 Fault Tolerant Capability Chip Count (Nchip) Fault statistics Chips saved by the proposed + redundancy (R=8, r=3) Chips saved by ECC + redundancy ( R=16) More number of saved chips as compare to ECC N Faulty-Cells ECC fails to save any chips Proposed architecture can handle more number of faulty cells than ECC, as high as 890 faulty cells with marginal perf loss

60 CPU Performance Loss % CPU Performance Loss For a 64K cache averaged over SPEC 2000 benchmarks N Faulty-Cells Increase in miss rate due to downsizing of cache Average CPU performance loss over all SPEC 2000 benchmarks for a cache with 890 faulty cells is ~ 2%

61 Register File: Self-Calibration using Leakage Sensing C. Kim, R. Krishnamurthy, & K. Roy

62 Process Compensating Dynamic Circuit Technology Conventional Static Keeper clk LBL0 RS0 RS1 RS7 N0... D0 D1 D7 LBL1 Keeper upsizing degrades average performance

63 Process Compensating Dynamic Circuit Technology b[2:0] clk 3-bit programmable keeper W s 2W s 4W s LBL0 RS0 RS1 RS7 N0... D0 D1 D7 LBL1 C. Kim et al., VLSI Circuits Symp. 03 Opportunistic speedup via keeper downsizing

64 Robustness Squeeze Number of dies Noise floor saved dies Conventional This work Normalized DC robustness 5X reduction in robustness failing dies

65 Number of dies Delay Squeeze PCD μ = 0.90 Conventional This work Conv. μ = 1.00 μ : avg. delay Normalized delay 10% opportunistic speedup

66 Self-Contained Process Compensation Fab Wafer test Process detection Leakage measurement On-die leakage sensor Program PCD using fuses Customer Package test Burn in Assembly

67 On-Die Leakage Sensor For Measuring Process Variation current reference 73μm compa rators VBIAS gen. NMOS device current mirrors test interface 83μm C. Kim et al., VLSI Circuits Symp. 04 High leakage sensing gain Compact analog design sharing bias generators

68 Leakage Current Sensing Circuits I REF V BIAS + - V SEN d0 V SEN V DD /2 + - d0 T. Kuroda et al., JSSC, Nov M. Griffin et al., JSSC, Nov Susceptible to P/N skew and supply fluctuation Large area due to multiple analog bias circuits Limited leakage sensing gain 68

69 ingle Channel Leakage Sensing Circuit M1 (saturation) V SEN V BIAS + - d0 I REF + - V REF M2 (subthreshold) Basic principle: Drain induced barrier lowering Low sensitivity to P/N skew and supply fluctuation 69

70 PV Insensitive Current Reference (I REF ) V t generation circuit Subtraction circuit 2/0.4 6/0.4 18/0.4 18/0.4 6/0.4 2/0.4 I REF 2/0.4 6/0.4 18/0.4 1/1.6 6/0.8 6/0.8 4/0.8 4/0.8 S. Narendra et al., VLSI Circuits Symp Sub-1V process, voltage compensated MOS current generation concept Reference voltage, external resistor not required Scalable, low cost, flexible solution 70

71 PV Insensitive Bias Voltage (V BIAS ) 8/0.4 1/0.4 96/0.2 I 1 V REF BIAS log ( ) E. Vittoz et al., JSSC, June /0.2 kt = q W W (W 1 =96μm, W 2 =2μm) 2 PTAT containing no resistive dividers Based on weak inversion MOS characteristics Desired output voltage achieved via sizing 71

72 Comparator + - = 4/0.4 4/0.4 Subtraction circuit (-) (+) 8/0.4 8/0.4 8/0.4 output I REF 4/0.4 4/0.4 2-stage differential amplifier Already designed I REF is used for bias current 72

73 PV Sensitivity of Designed I REF, V BIAS V, 90nm CMOS, 80 C I REF V BIAS I REF V BIAS fast typical slow V DD (V) Process skew I REF variation < 4%, V BIAS variation < 2% Under realistic process skews, ±100mV supply voltage fluctuations 73

74 Proposed Leakage Current Sensing M1 (saturation) V SEN V BIAS + - d0 I REF + - V REF M2 (sub-threshold) fast typical slow NMOS M2 fast typical slow PMOS M1 I ds I ds 1.2V, 90nm CMOS, 80 C V SEN (V) V SEN (V) 74

75 Superimposed I-V Curves 1.2V, 90nm CMOS, 80 C slow typical fast V SEN =0.93V I ds V SEN (V) X higher V SEN swing than prior-art Process-voltage insensitive design 75

76 6-Channel Leakage Sensor Test Chip W P 2W P 3W P 4W P 6W P 9W P I REF + - V BIAS V REF W N - + VSEN1 W N W N W N W N W N - + VSEN2 - + VSEN3 - + VSEN4 - + VSEN5 - + VSEN6 V1 V2 V3 V1 V4 V5 OUT[2] OUT[1] Bubble rejection circuit V2 V4 V6 OUT[0] V1 V2 V3 V4 V5 V6 Incremental mirroring ratio for multi-bit resolution leakage sensing Shared bias generators compact design Process-voltage insensitive I REF, V BIAS gen. 76

77 Multi-Bit Resolution Leakage Sensing V, 90nm CMOS, 80 C Voltage (V) V REF V SEN6 V SEN5 V SEN4 V SEN3 V SEN2 V SEN fast typical slow Process skew Leakage level determined by comparing V SEN1 through V SEN6 with V REF 6-channel leakage sensor gives 7 level resolution 77

78 I REF + - V BIAS V REF Bubble rejection circuit Example: Operation at Fast Process Corner W P 2W P 3W P 4W P 6W P 9W P W N - + VSEN1 W N W N W N W N W N VSEN2 VSEN3 VSEN4 VSEN V1 V2 V3 V4 V5 V VSEN6 Voltage (V) V1 V2 V3 V1 V4 V5 V2 V4 V6 fast typical slow OUT[2] OUT[1] OUT[0] V REF Fast corner: output code

79 I REF + - Example: Operation at Typical V BIAS V REF Bubble rejection circuit Process Corner W P 2W P 3W P 4W P 6W P 9W P W N - + VSEN1 W N W N W N W N W N VSEN2 VSEN3 VSEN4 VSEN V1 V2 V3 V4 V5 V VSEN6 Voltage (V) V1 V2 V3 V1 V4 V5 V2 V4 V6 fast typical slow OUT[2] OUT[1] OUT[0] V REF Typical corner: output code

80 On-Die Leakage Sensor Test Chip current reference compar ators VBIAS gen. NMOS devices current mirrors test interface Technology 90nm dual Vt CMOS V DD 1.2V Resolution 7 levels Power consumption 0.66 Dimensions 83 X 73 μm 2

81 Leakage Binning Results Output codes from leakage sensor

82 Conclusion Statistical Failure Analysis Helps Enhance Yield Post Silicon Tuning/Calibration is Becoming Promising for Si Nano systems Built-In Leakage/Delay Sensors Provide Information on Intra-Die Process Variations

High-Performance SRAM Design

High-Performance SRAM Design High-Performance SRAM Design Rahul Rao IBM Systems and Technology Group Exercise RWL WWL READ Path RWL WBL WBLb RBL WWL READ Path WBL WBLb RBL Worst case read condition : Worst case Bitline Leakage when

More information

Process-Tolerant Low-Power Design for the Nano-meter Regime

Process-Tolerant Low-Power Design for the Nano-meter Regime Process-Tolerant Low-Power Design for the Nano-meter Regime Kaushik Roy Electrical & Computer Engineering Purdue University Exponential Increase in Leakage 1970 1980 2000 2010 2020 5 µm 1 µm 100 nm 10

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Low-Power Design Under Parameter Variations

Low-Power Design Under Parameter Variations Low-Power Design Under Parameter Variations Kaushik Roy Purdue University Kaushik@purdue.edu Swarup Bhunia Case Western Reserve Univ swarup.bhunia@case.edu Embedded Tutorial : ISLPED 08 Nanometer Design

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

A Leakage Control System for Thermal Stability During Burn-In Test

A Leakage Control System for Thermal Stability During Burn-In Test A Leakage Control System for Thermal Stability During Burn-In Test Mesut Meterelliyoz, Hamid Mahmoodi, and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Low Leakage L SRAM Design in Deep Submicron Technologies

Low Leakage L SRAM Design in Deep Submicron Technologies Low Leakage L SRAM Design in Deep Submicron Technologies Behnam Amelifard, Farzan Fallah, and Massoud Pedram Univ. of Southern California Los Angeles CA USA Jan25 25, 28 Presentation at SNU Outline Introduction

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

Moore s Law Technology Scaling and CMOS

Moore s Law Technology Scaling and CMOS Design Challenges in Digital High Performance Circuits Outline Manoj achdev Dept. of Electrical and Computer Engineering University of Waterloo Waterloo, Ontario, Canada Power truggle ummary Moore s Law

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

Semiconductor Memories

Semiconductor Memories !"#"$%&'()$*#+%$*,' -"+./"$0 1'!*0"#)'2*+03*.$"4* Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 !"#$%&'()*&'*+&, Memory Classification Memory Architectures

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry*, T. Ghani*, S. Borkar and V. De Microprocessor Research Labs,

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Semiconductor Memories

Semiconductor Memories Introduction Classification of Memory Devices "Combinational Logic" Read Write Memories Non Volatile RWM Read Only Memory Random Access Non-Random Access Static RAM FIFO Dynamic RAM LIFO Shift Register

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Dynamic Logic Introduction Digital IC EE141 2 Dynamic logic outline Dynamic logic principle Dynamic logic

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

Digital Integrated Circuits 2nd Inverter

Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits The Inverter The CMOS Inverter V DD Analysis Inverter complex gate Cost V in V out complexity & Area Integrity and robustness C L Static behavior Performance Dynamic response

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Designing Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

COMP 103. Lecture 16. Dynamic Logic

COMP 103. Lecture 16. Dynamic Logic COMP 03 Lecture 6 Dynamic Logic Reading: 6.3, 6.4 [ll lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] COMP03

More information

Memory Trend. Memory Architectures The Memory Core Periphery

Memory Trend. Memory Architectures The Memory Core Periphery Semiconductor Memories: an Introduction ti Talk Overview Memory Trend Memory Classification Memory Architectures The Memory Core Periphery Reliability Semiconductor Memory Trends (up to the 90 s) Memory

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information

SRAM Cell, Noise Margin, and Noise

SRAM Cell, Noise Margin, and Noise SRAM Cell, Noise Margin, and Noise C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH and BAW 1 Overview Reading Rabaey 5.3 W&H 2.5 Background Reading a memory cell can disturb its value. In addition,

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 15: March 15, 2018 Euler Paths, Energy Basics and Optimization Midterm! Midterm " Mean: 89.7 " Standard Dev: 8.12 2 Lecture Outline! Euler

More information

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Smruti R. Sarangi, Brian Greskamp, Radu Teodorescu, Jun Nakano, Abhishekh Tiwarii and Josep Torrellas University of

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2016 Final Friday, May 6 5 Problems with point weightings shown.

More information

MODULE 5 Chapter 7. Clocked Storage Elements

MODULE 5 Chapter 7. Clocked Storage Elements MODULE 5 Chapter 7 Clocked Storage Elements 3/9/2015 1 Outline Background Clocked Storage Elements Timing, terminology, classification Static CSEs Latches Registers Dynamic CSEs Latches Registers 3/9/2015

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i- EECS40 Spring 010 Lecture 1: Matching Elad Alon Dept. of EECS Offset V i+ V i- To achieve zero offset, comparator devices must be perfectly matched to each other How well-matched can the devices be made?

More information

COMBINATIONAL LOGIC. Combinational Logic

COMBINATIONAL LOGIC. Combinational Logic COMINTIONL LOGIC Overview Static CMOS Conventional Static CMOS Logic Ratioed Logic Pass Transistor/Transmission Gate Logic Dynamic CMOS Logic Domino np-cmos Combinational vs. Sequential Logic In Logic

More information

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution ELEC516 Digital VLSI System Design and Design Automation (spring, 010) Assignment 4 Reference solution 1) Pulse-plate 1T DRAM cell a) Timing diagrams for nodes and Y when writing 0 and 1 Timing diagram

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 21, 2017 Transmission Gates, Euler Paths, Energy Basics Review Midterm! Midterm " Mean: 79.5 " Standard Dev: 14.5 2 Lecture Outline!

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 017 Final Wednesday, May 3 4 Problems with point weightings shown.

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

EEE 421 VLSI Circuits

EEE 421 VLSI Circuits EEE 421 CMOS Properties Full rail-to-rail swing high noise margins» Logic levels not dependent upon the relative device sizes transistors can be minimum size ratioless Always a path to V dd or GND in steady

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 14 The CMOS Inverter: dynamic behavior (sizing, inverter

More information

Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics. Lena Peterson

Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics. Lena Peterson Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics Lena Peterson 2015-10-13 Outline (1) Why is the CMOS inverter gain not infinite? Large-signal

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DS0026 Dual High-Speed MOS Driver General Description DS0026 is a low cost

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain - Spring 2003 Lecture 8 Power Inverter Chain Announcements Homework 3 due today. Homework 4 will be posted later today. Special office hours from :30-3pm at BWRC (in lieu of Tuesday) Today s lecture Power

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information