Low-Power Design Under Parameter Variations

Size: px
Start display at page:

Download "Low-Power Design Under Parameter Variations"

Transcription

1 Low-Power Design Under Parameter Variations Kaushik Roy Purdue University Swarup Bhunia Case Western Reserve Univ Embedded Tutorial : ISLPED 08

2 Nanometer Design Challenges :Variation in Process Parameters 1.4 Inter and Intra-die Variations Device 1 Device 2 Channel length Normalized Frequency # dopant atoms 30% 130nm Source: Intel 5X Normalized Leakage (Isb( Isb) Delay and Leakage Spread Technology Node (nm) Random dopant fluctuation Source: Intel Device parameters are no longer deterministic 2

3 Technology Trend Bulk-CMOS V G V S Gate V D Source Floating Body Drain Buried Oxide (BOX) Substrate PD/SOI Fully-depleted body V S V G Gate V D Source Drain Buried Oxide (BOX) Substrate V back FD/SOI Single gate device DGMOS FinFET Trigate Multi-gate devices Nano devices Carbon nanotube III-V devices nano-wires Spintronics Design methods to exploit the advantages of technology innovations

4 Reliability Temporal degradation of performance -- NBTI Failure probability Defects Tech. generation Life time degradation Time

5 Pessimistic Design Hurts Speed/Power Number of dies (150nm CMOS Measurements, 110 C) nominal corner Normalized IOFF worst-case corner Substantial variation in leakage across dies 4X variation between nominal and worst-case leakage Performance determined at nominal leakage Robustness determined at worst-case leakage

6 Variation in Process Parameters & Self-repair Robust Memory Design Failure Mechanisms Read Failure Write Failure Hold Failure Access Failure Memory Self-Repair (nominal supply) Memory Test Methodology Robust Logic Design Low Power Solution Supply Scaling Failure Mechanisms Delay Failure Quality Degradation Design Time Technique Run Time Technique CRISTA RAZOR 6

7 Memory: Process Variation & Tolerance Impact of parametric process variations on memory failure statistics Memory Self-Repair Techniques Memory Test Methodologies 7

8 Robust Design: Process Variations in On-chip SRAMs PL NL PR AXL 1 0 NR WL AXR Parametric failures -Read, Write, Access, Hold Chip Count BL BR High-Vt Low-Vt Yield 33% Fault statistics σ Vt 30mv, using BPTM 45nm technology Simulation example of an 64KB Cache Number of faulty cells (N( Faulty Faulty-Cells Cells) Parametric failures can degrade SRAM yield

9 Inter-die Variation & Memory Failure LVT Nom. Vt HVT Reg. A High RF/HF Reg. B Low Failures Reg. C High AF/WF Failure Probability Cell. Fail. Prob. Mem. Fail. Prob. BPTM 70nm Devices Inter-die Vt shift [V] Memory failure probabilities are high when inter-die shift in process is high 9

10 Memory Self Repair Techniques: Circuit Level Mukhopadhyay, Roy: JSSC June 2007; TCAD Jan. 2008; ICCAD 2004,.. 10

11 Self-Repairing SRAM Array : The Core Idea LVT Nom. Vt HVT Region A LVT Corner Region A Region B Region C Region C HVT Corner Read & Hold failures dominate Access & Write failures dominate Reduce RF & HF Reduce AF & WF Reduce the dominant failures at different inter-die corners to increase width of low failure region 11

12 Memory Self-Repair using Leakage Monitor V DD Bypass Switch Calibrate Signal On-chip Leakage Monitor V out V REF1 V REF2 Comparator SRAM ARRAY V OUT SRAM Array Body bias Body-Bias Generator LVT V REF1 BPTM 70nm Entire array leakage is monitored to detect interdie corner and proper body-bias is applied Nom. Vt V REF2 HVT Nom. Vt LVT Current Monitor Circuit 12

13 Test-Chip of Self-Repairing SRAM Isolated cell VCO 16 KB block Sensor + Ref. gen. VCO 64 KB LVT Array BB gen Ref. and sensor output [V] Silicon Results Leakage sensor (LVT array) High-reference Low-reference Chip numbers Technology : IBM 0.13 μm Dual-Vt Triple-well tech. Number of Trans: ~ 7 million Die size: 16mm 2 On-chip leakage sensor, Reference, & body-bias generators RBB signal Body voltage (Zero bias Body voltage (Reverse Bias) 13

14 Memory Self-Repair: Architecture Level Agarawal, Roy TVLSI 2005

15 Fault-Tolerant Cache Architecture Faulty BIST detects the faulty blocks Config Storage stores the fault information Idea is to resize the cache to avoid faulty blocks during regular operation 15

16 Mapping Issue More than one INDEX are mapped to same block Include column address bits into TAG bits Resizing is transparent to processor same memory address 16

17 Fault Tolerant Capability Chip Count (Nchip) Fault statistics Chips saved by the proposed + redundancy (R=8, r=3) Chips saved by ECC + redundancy ( R=16) More number of saved chips as compare to ECC ECC fails to save any chips N Faulty-Cells Proposed architecture can handle more number of faulty cells than ECC, as high as 890 faulty cells Saves more number of chips than ECC for a given N Faulty-Cells 17

18 CPU Performance Loss % CPU Performance Loss For a 64K cache averaged over SPEC 2000 benchmarks N Faulty-Cells Increase in miss rate due to downsizing of cache Average CPU performance loss over all SPEC 2000 benchmarks for a cache with 890 faulty cells is ~ 2% 18

19 Process Tolerance: Register Files Kim et. al. VLSI Circuit Symposium 2004

20 Process Compensating Dynamic Circuit Technology b[2:0] clk 3-bit programmable keeper W s 2W s 4W s LBL0 RS0 RS1 RS7 N0... D0 D1 D7 LBL1 C. Kim et al., VLSI Circuits Symp. 03 Opportunistic speedup via keeper downsizing

21 Robustness Squeeze Number of dies Noise floor saved dies Conventional This work Normalized DC robustness 5X reduction in robustness failing dies

22 Number of dies Delay Squeeze PCD μ = 0.90 Conventional This work Conv. μ = 1.00 μ : avg. delay Normalized delay 10% opportunistic speedup

23 On-Die Leakage Sensor For Measuring Process Variation current reference 73μm compa rators VBIAS gen. NMOS device current mirrors test interface 83μm C. Kim et al., VLSI Circuits Symp. 04 High leakage sensing gain 90nm dual-vt, Vdd=1.2V, 7 level resolution, 0.66

24 Leakage Binning Results Output codes from leakage sensor

25 Self-Contained Process Compensation Fab Wafer test Process detection Leakage measurement On-die leakage sensor Program PCD using fuses Customer Package test Burn in Assembly

26 Logic: Process Variation & Tolerance Design time technique Statistical Design Dual-V th Assignment under variations Supply scaling & delay failures CRitical path ISolation for Timing Adaptiveness (CRISTA) CRISTA for Digital Signal Processing (DSP) Run time technique Shadow Latches (RAZOR) Adaptive Body Bias (ABB) CRISTA: Ghosh, Bhunia, Roy; TCAD Nov. 2007; ICCAD 2006; CICC 2007 Razor: Ernst, Austin, Mudge; IEEE MICRO Nov.-Dec

27 Statistical Design No consideration for the variation in process parameters Circuit is optimized for the area (power) with designed delay constraint A number of dies have to die due to the variation Worst-case design methodology Assume the worst-case variation for all the transistors Too pessimistic: wastage of resources (area; power) # of dies designed delay delay

28 Statistical Design: Problem formulation Minimize total power Gate size: x i Delay constraint at output: A 0 Yield constraint: γ Subject to Minimize 5 1,..., i U x L P p A D x i i i p i o i i i i = = α Yield γ 0 γ n = 5 (gates) s = 3 (inputs) 2 virtual components

29 Statistical Design: Example Statistical gate sizing for improving yield under area (power) constraint or vice versa Statistical dual-v th assignment under yield constraint Statistical multi-v DD assignment under yield constraint Simultaneous gate sizing and dual V th assignment IF 4ns IF Latches ID ID EX 5ns 5ns 6ns 3ns Throughput = 1 Job / Max(stage delay) = 1 Job / 6ns (a) EX MEM MEM WB WB Incorporating imbalance among pipeline stage delay enhances yield! SD1 SD2 SD3 SD4 SD5 Throughput = 1 Job / Max(stage delay) = 1 Job / Max(SD1, SD2, SD3, SD4, SD5) (b) Datta et al. TCAD06

30 Parameter Variations & Dual-V th Design Agarwal, Kang, Bhunia, Roy; TVLSI

31 Design of High-V t in Nano-Scale Regime Threshold voltage implants/ higher substrate doping were used in sub-µ technologies to design high-v t devices Scaling devices requires (to reduce SCE) High substrate doping Application of halo profiles Supersedes any traditional high-v t implant High-V t devices increasing the strength of (nano-scale regime) halo doping

32 High-V Devices and Different t Leakage Components Subthreshold source gate n+ drain n+ n+ p-sub Halo p+ p+ Gate tunneling Reverse junction BTBT Leakage (na) nm Low-Vt Subthreshold Gate Junction BTBT 90nm High-Vt 50nm Low-Vt 50nm High-Vt Higher halo doping Subthreshold leakage Junction BTBT Reduction in subthreshold leakage in dual-v t design is at the expense of increase in junction BTBT

33 Dual-V th Design Non critical paths (high-v th ) Critical path (Low-V th ) Dual-V th assignment for mainly active leakage reduction Improves dynamic power slightly Increases number of critical paths affects path delay testability

34 Dual-V Design and Process t Variation Dual-V t design utilizes the slack between off-critical and critical paths to assign high-v t Increases the number of critical paths Increases the mean of the circuit delay distribution Moreover, high-v t transistors have large σ variation due to high doping concentration more random dopant fluctuation Increases the delay spread

35 Yield Loss in Dual-V Design under t Process Variation # of Dies 4 x nm ISCAS c Mean delay 2 shift 1 Low V t Dual V t Delay constraint 9.67% Yield loss Circuit delay (ps) Dual-Vt design Increase in mean of circuit delay distribution & delay spread More dies fail to meet the delay constraint resulting in yield loss

36 Yield using CONV, OPT1 and OPT2 CONV results in average 17% and max 59% yield loss in 50nm tech. STAT imposes 95% yield constraint 50nm: STAT ~ 55% leakage savings (average) 25nm: STAT ~ only 11% leakage savings (average) CONV overestimates leakage saving by 36% In scaled technologies, dual-v t optimization results in almost negligible power savings, if the yield constraint is forced

37 Supply Scaling Power Process Variation Delay failures!! Increased Power!! Power T c Path Delay CLK VDD <VDD Power Path Delay CLK VDD >VDD Voltage (a) Scaling Down Supply Voltage Voltage (a) Scaling Up Supply Voltage V 1 V 2 V V 3 V 4 CLK VDD Multi- VDD Power (b) Multi-Voltage/Multi-Vt Design Frequency (b) Gate Sizing Low power and robustness do not go hand-in-hand 37

38 CRISTA Design Framework Nominal Conventional System Scaled # of paths delay target S # of paths delay target Complete Failure path delay path delay CRISTA Design Nominal Graceful Degradation In Performance Scaled # of paths delay target S # of paths delay target Predictable and rare by design path delay path delay Best performance possible at lowest power with parametric error tolerance 38

39 CRISTA Design Principle evaluate evaluate based on prediction T c 2T c CLK CLK VDD<1V non-critical path activation VDD<1V critical path activation CRISTA Design Principle: Scale down the supply while making delay failures predictable Avoid failures by adaptive clock stretching at rated frequency Ensure that critical paths are activated rarely 39

40 Case Study: Adder P 0 G 0 P 1 G 1 P 2 G 2 P 3 G 3 C in Cout FA FA FA FA Interesting features: Single critical path (activated by P 0 P 1 P 2 P 3 =1 & C i,0 =1) Low activation probability of critical path Timing slack between critical and longest off-critical path VDD = 1V, TCLK = 260ps Crit. path delay=260ps longest off-crit. path delay=165ps P = 13uW (1-cycle) VDD = 0.8V, TCLK = 260ps Crit. path delay=330ps longest off-crit. path delay=260ps P = 7.4uW (rare 2-cycles, decoder) 44% power saving by reducing supply voltage and adaptive clocking 40

41 CRISTA for Random Logic Original Circuit X i = 1 CF11 X i = 0 (X i, X j )= (1,1) CF32 (X i, X j )= (1,0) CF42 x i x j x k (X i X j X k )= (1,0,1) CF53 (X i X j X k )= (1,0,0) CF63 MUX Network PO CF21 Inputs Inputs LEVEL1 (50%) LEVEL2 (25%) LEVEL3 (12.5%) Stopping conditions: area, delay constraints delay target Average power saving = 60% Avg performance penalty=5.9% (with 4 control variables) Average area overhead = 18% # of paths path delay S 1 41

42 Application of CRISTA to Pipeline* CRISTA Pipeline CLK LFSR fixed vectors VDD m 4:1 mux TM1TM2 CLK FFs Stalling Logic freeze Predecoder Carry-Lookahead Adder Predecoder FFs gclk Comparator Conventional Pipeline TM1 TM2 FFs Outputs Clock generator Regular pipeline GDS Layout Test logic CRISTA pipeline Test logic fixed vectors VDD o 2:1 Mux TM1 FFs Carry-Lookahead Adder FFs Comparator FFs Outputs Power saving ~40% Performance loss ~13% Area overhead~9% S Ghosh, P Batra,, K Kim and K Roy, Low-power and process tolerant pipeline design in scaled technologies, CICC,

43 Application of CRISTA in Thermal Mgmt Can we maintain rated frequency during thermal management? Key contributions: Adaptive systems for dynamic thermal management 43

44 Temperature Aware Design using CRISTA* # paths S # paths Secondary critical (it will run with twocycles at V DDL2 ) Critical (it will run with two-cycles at V DDL1 and V DDL2 ) 2 cycle bound path delay path delay S 3 S 2 S 1 Scale down the supply at elevated temperatures while making delay failures predictable Maintain rated frequency Avoid the failures by adaptive clock stretching Ensure that critical paths are activated rarely Tradeoff between temperature and performance loss S Ghosh, S Bhunia and K Roy, Low overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling, DATE

45 Simulation Results MCNC benchmarks, 70nm devices, Nominal supply = 1V, T amb = 100 o C Org(VDDH), freq=f Org(VDDL), freq=f/2 CRISTA(VDDL1), freq=f(adaptive) CRISTA(VDDL2), freq=f(adaptive) Temperature( o C) cht sct pcle mux cmb alu2 cm150a decod Similar temperature reduction as conventional technique (i.e. supply scaling with half frequency) Avg performance penalty=5.9% (at V DDL1 ) and 15.2% (at V DDL2 ) compared to 50% in conventional technique Avg area overhead ~14% 45

46 Micro-Architectural Implementation T REF1 T REF2 Sel Temp sensor freeze CLK Predecoder 0 1 V DDH V DDL IF ID EX MEM WB Applied to execution stage (adders and multipliers) of in-order pipeline Can be an add-on to standard DVFS S Ghosh, J Choi, P Ndai, and K Roy, O2C: Occasional Two-Cycle operations for dynamic thermal management in high performance embedded In- Order microprocessors, ISLPED

47 DTM Policies Temperature threshold CRISTA: Case 1 Temperature threshold for DVFS T REF2 T REF2 Temperature threshold for CRISTA T REF1 CRISTA Triggered CRISTA released T REF1 CRISTA: Case 2 DVFS T REF2 T REF2 DVFS triggered CRISTA released DVFS Triggered T REF1 T REF1 CRISTA Triggered DVFS released DVFS released 47

48 Max. Temp (C) Max. Temp (C) Simulation Results SPEC CINT benchmarks (gcc) No DTM 70 DVFS triggered Time (sec) DVFS triggering 65 avoided in CRISTA Time (sec) Avoids requirement of full-chip DVFS DVFS CRISTA DVFS: Dynamic Supply and Frequency Scaling CRISTA : Occasional 2-Cycle Operation V f (GHz) DVFS CRISTA No DTM

49 Simulation Results Peak Temperature (TREF1=75 o C, TREF2=80 o C) No DTM DVFS CRISTA T(degree C) bzip2 crafty eon gap gcc gzip mcf parser perlmk twolf vortex vpr Average reduction in peak temperature ~6.6% ~ with ~3.4% throughput loss and ~4.2% ~ area overhead 49

50 VDD Scaling, Process Variation, and Quality Trade-off: DCT, Color Interpolation, General FIR Filtering Banerjee, Karakonstantis, Roy; Design Automation and Test in Europe (DATE) 2007 Karakonstantis, Banerjee, Roy, Chakrabarti; ICCAD 2007 Banerjee, Roy; ISLPED 2007

51 Basic Idea All computations are not equally important for determining outputs Identify important and unimportant computations based on output sensitivity Compute important computations with higher priority Delay errors due to variations/ Vdd scaling affect only non-important computations Gradual degradation in output with voltage scaling and process variations

52 DCT Based Image Compression Process 8 8 blocks Source image X JPEG Encoder Block Diagram FDCT Round Z T Z T ' Q Quantizer V Entropy Encoder Compressed Image Data image Z = T X T ' X W Transpose Y Z 1D DCT 1D DCT Memory DCT is used in current international image/video coding standards - JPEG, MPEG, H.261, H.263

53 Energy Distribution of a 2D-DCT Output High energy components (important outputs 75% energy) Low energy components (less important outputs) Can important components be computed with higher priority?

54 Design Methodology x 0 w 0 w 8 w 16 w 24 w 32 w 40 w 48 w 56 x 1 x 2 x 3 x 4 T.x t 1D-DCT w 1 w 2 w 3 w 4 Faster Computation x 5 x 6 w 5 w 6 Slower Computation x 7 (a) Input Block (b) w 7 1D- intermediate DCT outputs z 0 z 1 z 2 z 3 z 4 Faster Computation Slower Computation 1D-DCT y 0 y 1 y 2 y 3 y 4 y 5 y 6 y 7 Faster Computation Slower Computation (d) Final DCT outputs (c) Transpose Memory

55 ( x 0 + x 7 ) d ( x + x ) d 3 4 ( x 2 + x 5 ) d ( x + x ) d 1 6 ( x 0 - x 7 ) a ( x- x ) a 1 6 ( x - x ) e ( 1 x - 6 x ) e 2 5 ( x- x ) f 1 6 ( x - x ) f 3 4 ( x - x ) e 2 ( x 2 - x 5 ) a ( x - x ) a ( 3-4 x x ) f 2 ( x - x ) f Path Delays for 1D-DCT outputs << >> + << + << >> w 0 w (2 adders delay) 4 << (3 adders delay) w 1 (3 adders delay) w 7 (4 adders delay) ( x 0 + x 7 ) e ( x + x ) e 3 4 ( x + x ) f ( 1 x + 6 x ) f 0 7 ( x 2 + x 5 ) f ( x + x ) f 3 4 ( x + x ) f 3 4 ( x + x ) f 1 6 ( x 2 + x 5 ) e ( x + x ) e 1 6 ( x 0 - x 7 ) a ( x - x ) a 2 ( x - x ) e 3 4 ( x - x ) a 3 4 ( x - x ) a 1 6 ( x - x ) f ( 3-4 x x ) f << + << << >> ( x 0 - x 7 ) e ( x - x ) e ( 3 x 0-4 x 7 ) f ( x - x ) f << + << >> + + w 2 (3 adders delay) w 6 (4 adders delay) w 3 (3 adders delay) w 5 (4 adders delay)

56 Proposed DCT under Vdd scaling Proposed Design with high/low delay paths Scaled Vdd: Longer paths under Vdd scaling w 0 w 1 w 2 w 3 Important Computations w 0 w 1 w 2 w 3 w 4 w 5 w 6 w 7 Vdd1 Longer Delays w 4 w 5 w 6 w 7 D2 Paths Not Computed Extreme Scaled Vdd: Shorter paths affected Only DC component Paths Not Computed w 0 w 1 w 2 w 3 w 4 w 5 w 6 w 7 D3 > D4 Vdd3 < Vdd2 < Vdd1(nominal)

57 1D-DCT Path Delay Comparisons Conventional DCT Proposed DCT Path1(w0) Path2(w1) Path3(w2) Path4(w3) Path5(w4) Path6(w5) Path7(w6) Path8(w7) Computation Paths Delay(ns)

58 Effect of Vdd Scaling Different Architectures at Nominal Voltage Convention al WTM DCT CSHM DCT (2 alphabet) Proposed DCT 1.0V CSHM DCT (2 alphabets) DCT with WTM Proposed DCT 1.0 V Power (mw) Delay (ns) Area (um 2 ) V FAILS FAILS PSNR (db) Proposed Architecture at Reduced Voltage 0.8 V FAILS FAILS Proposed DCT Vdd=0.9V Proposed DCT Vdd=0.8V Power (mw) 17.53(41.2%) 11.09(62.8%) PSNR (db) Graceful degradation of proposed DCT architecture under Vdd scaling ( Vdd can be scaled to 0.75V) Conventional architectures fails

59 CRISTA for DSP Systems Design Principle Scale down supply voltage Design/algorithm such that failures can only occur in less critical section -- minimal quality degradation Robust under process variations possible delay failures are confined in non-critical components Graceful degradation in quality of service 59

60 CRISTA for DSP Systems 2. Finite Impulse Response (FIR) 3. Color Interpolation critical coefficients less-critical coefficients Gi 1, j >>2 Gi, j 1 G 1 Gi+1, j G 2 Gi, j+1 Vdd >>2 Ri, j >>1 M1 Ri, j -2 Ri, j+2 Ri+2, j Ri -2, j G 3 Power(mW) Vdd M Power at Nominal/Scaled Voltage FAILS Conv. 33.6% FAILS Voltage(V) 1.0 V 0.9 V 0.8 V 21.6% Bilinear component is critical and gradient component is less-critical Design architecture such that failures can only occur in gradient term Conv FAILS FAILS LCCSE Proposed 60

61 Runtime Techniques RAZOR: Dan Ernst et. al., MICRO Tschanz et al., JSSC02.

62 A Runtime Compensation Technique : Shadow Latch (RAZOR) Standard Latch D CLK Q Shadow Latch Delay E RAZOR: Dan Ernst et. al., MICRO Post-Silicon technique for dynamic supply scaling and timing error detection/correction Energy consumption can be reduced by ~42% with performance hit of less than 1% Error correction overhead is 1% for a 10% error rate 62

63 Post-Si Adaptive Body Bias (ABB) Used as an effective tool for process compensation RBB is effective to reduce leakage FBB is effective to improve operating frequency of a design in active mode Bidirectional ABB can be used to compensate for die-to-die process parameter variations Optimal PMOS/NMOS bias needs to be selected Die count 100% Accepted 80% 110C dies: 60% 1.1V NBB 40% 20% S-ABB 0% Normalized frequency Norm leakage Circuit Block PD PD PD PD Bias Gen. PD PD PD PD Tschanz et al., JSSC02.

64 Summary Low power and process tolerance typically impose contradictory design requirements Statistical design suffers from reduced effectiveness under large process variations Variation-resilient techniques such as CRISTA can be very amenable for low-power design Process compensating design techniques both for memory and logic can be very effective to minimize power dissipation while compensating for variations. Design methodologies targeting different levels of design abstraction is necessary to achieve high performance, low power, and higher yield 64

Process-Tolerant Low-Power Design for the Nano-meter Regime

Process-Tolerant Low-Power Design for the Nano-meter Regime Process-Tolerant Low-Power Design for the Nano-meter Regime Kaushik Roy Electrical & Computer Engineering Purdue University Exponential Increase in Leakage 1970 1980 2000 2010 2020 5 µm 1 µm 100 nm 10

More information

Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS

Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Kaushik Roy S. Mukhopadhyay, H. Mahmoodi, A. Raychowdhury, Chris Kim, S. Ghosh, K. Kang School of Electrical and Computer

More information

High-Performance SRAM Design

High-Performance SRAM Design High-Performance SRAM Design Rahul Rao IBM Systems and Technology Group Exercise RWL WWL READ Path RWL WBL WBLb RBL WWL READ Path WBL WBLb RBL Worst case read condition : Worst case Bitline Leakage when

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Moore s Law Technology Scaling and CMOS

Moore s Law Technology Scaling and CMOS Design Challenges in Digital High Performance Circuits Outline Manoj achdev Dept. of Electrical and Computer Engineering University of Waterloo Waterloo, Ontario, Canada Power truggle ummary Moore s Law

More information

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry*, T. Ghani*, S. Borkar and V. De Microprocessor Research Labs,

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

A Leakage Control System for Thermal Stability During Burn-In Test

A Leakage Control System for Thermal Stability During Burn-In Test A Leakage Control System for Thermal Stability During Burn-In Test Mesut Meterelliyoz, Hamid Mahmoodi, and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

EE241 - Spring 2003 Advanced Digital Integrated Circuits

EE241 - Spring 2003 Advanced Digital Integrated Circuits EE241 - Spring 2003 Advanced Digital Integrated Circuits Lecture 16 Energy-Recovery Circuits SOI Technology and Circuits Optimal EDP Contours 1 Leakage and Switching ELk 2 = ESw Opt L ln d K tech α avg

More information

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip Flops M. Ghasemazar, B. Amelifard, M. Pedram University of Southern California Department of Electrical Engineering

More information

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution ELEC516 Digital VLSI System Design and Design Automation (spring, 010) Assignment 4 Reference solution 1) Pulse-plate 1T DRAM cell a) Timing diagrams for nodes and Y when writing 0 and 1 Timing diagram

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Energy Delay Optimization

Energy Delay Optimization EE M216A.:. Fall 21 Lecture 8 Energy Delay Optimization Prof. Dejan Marković ee216a@gmail.com Some Common Questions Is sizing better than V DD for energy reduction? What are the optimal values of gate

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEMORY INPUT-OUTPUT CONTROL DATAPATH

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić Timing Issues January 2003 1 Synchronous Timing CLK In R Combinational 1 R Logic 2 C in C out Out 2

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VII Timing Issues in Digital Circuits (chapter 10 in textbook) GMU, ECE 680 Physical VLSI Design 1 Synchronous Timing (Fig. 10 1) CLK In R Combinational 1 R Logic 2

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

Modeling and Analyzing NBTI in the Presence of Process Variation

Modeling and Analyzing NBTI in the Presence of Process Variation Modeling and Analyzing NBTI in the Presence of Process Variation Taniya Siddiqua, Sudhanva Gurumurthi, Mircea R. Stan Dept. of Computer Science, Dept. of Electrical and Computer Engg., University of Virginia

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

L15: Custom and ASIC VLSI Integration

L15: Custom and ASIC VLSI Integration L15: Custom and ASIC VLSI Integration Average Cost of one transistor 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001 '68 '70 '72 '74 '76 '78 '80

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Floating Point Representation and Digital Logic. Lecture 11 CS301

Floating Point Representation and Digital Logic. Lecture 11 CS301 Floating Point Representation and Digital Logic Lecture 11 CS301 Administrative Daily Review of today s lecture w Due tomorrow (10/4) at 8am Lab #3 due Friday (9/7) 1:29pm HW #5 assigned w Due Monday 10/8

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements

The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements A Thesis Submitted for the Degree of Doctor of Philosophy in the Faculty of Engineering

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Heumpil Cho and Earl E. Swartzlander, Jr. Application Specific Processor Group Department of Electrical and Computer Engineering The University

More information

Semiconductor Memories

Semiconductor Memories !"#"$%&'()$*#+%$*,' -"+./"$0 1'!*0"#)'2*+03*.$"4* Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 !"#$%&'()*&'*+&, Memory Classification Memory Architectures

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEM ORY INPUT-OUTPUT CONTROL DATAPATH

More information

STOCHASTIC LOGIC Architectures for post-cmos switches

STOCHASTIC LOGIC Architectures for post-cmos switches STOCHASTIC LOGIC Architectures for post-cmos switches David S. Ricketts Electrical & Computer Carnegie Mellon University www.ece.cmu.edu/~ricketts Jehoshua (Shuki) Bruck Engineering Electrical Engineering

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible Logic 1 KONDADASULA VEDA NAGA SAI SRI, 2 M.

Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible Logic 1 KONDADASULA VEDA NAGA SAI SRI, 2 M. ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible

More information

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates B.BharathKumar 1, ShaikAsra Tabassum 2 1 Research Scholar, Dept of ECE, Lords Institute of Engineering & Technology,

More information

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES 598 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 16, NO 5, MAY 2008 design can be easily expanded to a hierarchical 64-bit adder such that the result will be attained in four cycles

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Smruti R. Sarangi, Brian Greskamp, Radu Teodorescu, Jun Nakano, Abhishekh Tiwarii and Josep Torrellas University of

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Pre and post-silicon techniques to deal with large-scale process variations

Pre and post-silicon techniques to deal with large-scale process variations Pre and post-silicon techniques to deal with large-scale process variations Jaeyong Chung, Ph.D. Department of Electronic Engineering Incheon National University Outline Introduction to Variability Pre-silicon

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, and Takao Onoye Dept. Information

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches Presenter: Tulika Mitra Swarup Bhunia, Massood Tabib-Azar, and Daniel Saab Electrical Eng. And

More information

Low Leakage L SRAM Design in Deep Submicron Technologies

Low Leakage L SRAM Design in Deep Submicron Technologies Low Leakage L SRAM Design in Deep Submicron Technologies Behnam Amelifard, Farzan Fallah, and Massoud Pedram Univ. of Southern California Los Angeles CA USA Jan25 25, 28 Presentation at SNU Outline Introduction

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information