MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

Size: px
Start display at page:

Download "MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application"

Transcription

1 th Non-Volatile Memory Technology Shanghai, China, Nov. 9, MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki 1, M. Natsui 1,3, S. Ikeda 1,2, T. Endoh 1,4, N. Kasai 1, and H. Ohno 1,2 1 Center for Spintronics Integrated Systems, Tohoku University, JAPAN 2 Laboratory for Nanoelectronics and Spintronics, RIEC, Tohoku University, JAPAN 3 Laboratory for Brainware Systems, RIEC, Tohoku University, JAPAN 4 Center for Interdisciplinary Research, Tohoku University, JAPAN Acknowledgement: This work was supported by the project Research and Development of Ultra-Low Power Spintronics-Based VLSIs under the FIRST program of JSPS (Leader: Prof. H. Ohno).

2 Outline Nonvolatile Logic-in-Memory Architecture Overview NV GP-Logic: Nonvolatile-FPGA NV SP-Logic: Nonvolatile-TCAM Conclusions & Future Prospects 2

3 Background: Increasing delay & power Leakage current Logic and Memory modules are separated Many interconnections between modules On-chip memory modules are volatile. Wire delay dominates chip performance Global wires requires large drivers. Power supply must be continuously applied in memory modules. Delay: Long Power: Large Static power: Large 3

4 Nonvolatile logic-in-memory architecture Logic-in-Memory Architecture (proposed in 1969): Storage elements are distributed over a logic-circuit plane. Magnetic Tunnel Junction (MTJ) device MTJ layer CMOS layer No volatility Unlimited endurance Fast writability Scalability CMOS compatibility 3-D stack capability Storage is nonvolatile: (Leakage current is cut off) MTJ devices are put on the CMOS layer Storage/logic are merged: (global-wire count is reduced) Static power is cut off. Chip area is reduced. Wire delay is reduced. Dynamic power is reduced. 4

5 Implementation of MTJ Device MTJ Device Fabricated CMOS back-end process M3 TH M2 TH M1 M1 LE TH M3 TH M2 TH UE M1 C Gate C Gate C MTJ Layer Metal Layers CMOS Layer MTJ MTJ 300nm Substrate Diffusion MTJ device stacked over MOS Plane D. Suzuki, et al., VLSI Circuit Symp Cross-sectional SEM image The. area cost using MTJ device is small. 5

6 Use of Charge and Spin Spin is used as an information carrier. Spintronics Storage Logic 0 state 1 state High resistance Low resistance Data is still kept when V DD is OFF (Nonvolatile) Logic operation is possible Silicon CMOS Charge is used as an information carrier. Storage Logic 0 state 1 state Very high resistance Very low resistance Charge is gone when V DD is OFF (Volatile) Logic operation is done Use of both charge and spin Realize no volatility and rich logic functionality 6

7 Power-Gating Suitability Power switch External Nonvolatile storage Power switch V DD Volatile storage Leakage current Logic Power switch Power Active Standby Active Escape to NVM Reload from NVM Time Power switch (PMOS) V DD Power Active Standby Active Nonvolatile storage (MTJ device) Logic Time NV logic-in-memory architecture Power gating is performed without data backup/reload. 7

8 Nonvolatile Processor Architecture Flash DRAM GP-Logic: General-purpose logic SP-Logic: Special-purpose logic Spin RAM NV NV NV SRAM FF GP-Logic FF SP-Logic Si 1st -step Nonvolatile Processor Spin RAM NV NVLIM NVLIM SRAM GP-Logic SP-Logic Si 2nd-step Nonvolatile Processor Nonvolatile Field-Programmable Gate Array (FPGA) Nonvolatile Ternary Content- Addressable Memory (TCAM) 8

9 Outline Nonvolatile Logic-in-Memory Architecture Overview NV GP-Logic: Nonvolatile-FPGA NV SP-Logic: Nonvolatile-TCAM Conclusions & Future Prospects 9

10 Nonvolatile Field-Programmable Gate Array (FPGA) NV LUT (Lookup Table) NV device -- Arbitrary logic functions are performed and programmed by FPGA -- Power dissipation and hardware overhead are two major issues. -- NV storage elements are distributed over the NV-FPGA (no external NVM). NVM Not required! NV FPGA Leakage current elimination and short latency are possible. How to design? Nonvolatile logic-inmemory architecture 10

11 Conventional nonvolatile FPGA CMOS logic circuit requires high-voltage input swing. MTJ MTJ MTJ MTJ Low voltage Combinational logic (CMOS) (: Sense Amplifier) High Voltage Output How do we perform logic operation by using low swing signal from MTJ device directly? 11

12 MOS/MTJ-hybrid circuitry (Proposed) Current-mode logic (CML) Logic operation is performed even low swing voltage by using the small difference of the current value. MTJ MTJ MTJ MTJ Combinational logic (Current-Mode) Output Low voltage High voltage Device count is reduced to 28% with less performance degradation. 12

13 Operation example (XOR) Z = 0 Sense Amplifier Z = 1 I F I F > I REF I REF Truth table 0 1 R AP R P R P R AP R REF A B Z Logic operation in low swing voltage is performed by using a MOS/MTJ-hybrid network. 13

14 Test chip features Fabricated 2-input LUT D. Suzuki, et al., VLSI Circuit Symposium, June Selection Transistor Tree Process 0.14 m MTJ/MOS 1-Poly, 3-Metal 4 MTJ devices are stacked over MOS layer Area 287 m 2 MTJ Size 50nm 150nm TMR Ratio 100% Current Write Time Standby Current 150 A 10ns 0A 14

15 Measured waveforms (Basic operations) Input A Input B P E P E P E P E P: Pre-Charge E: Evaluate Output Z Output Z A 0.78V/div B Z 100 s/div Z NOR NAND XOR XNOR 15

16 Immediate wakeup behavior Active Standby Active CLK V DD Z A B V DD = 0 A B Z 0.78V/div 50 s/div Immediate wakeup behavior has also measured successfully. 16

17 Comparison of performances Device Counts Area *2) Nonvolatile SRAM *1) 102 MOSs + 8 MTJs 702 m 2 Proposed 29 MOSs + 4 MTJs 287 m 2 Active Delay *3) 140 ps 185 ps Power *3) 26.7 W 17.5 W Standby Power 0 W 0 W *1) W. Zhao, et al., Physica Status SOLIDI a Application and Materials Science, 205, 6, 1373/1377, May *2) Estimation based on a 0.14 m process *3) HSPICE simulation based on a 0.14 m MOS/MTJ-hybrid process 17

18 Outline Nonvolatile Logic-in-Memory Architecture Overview NV GP-Logic: Nonvolatile-FPGA NV SP-Logic: Nonvolatile-TCAM Conclusions & Future Prospects 18

19 Ternary Content-Addressable Memory (TCAM) Bit-line driver Input key BL 1 BL 1 BL 2 BL 2 BL n BL n Search-line / Word-line driver X X X Stored words X X OUT 1 OUT 2 OUT n Fully parallel masked equality search Output driver 0 () 1 () 0 () Fully parallel search and fully parallel comparison can be done. TCAM is a functional memory. TCAM is the powerful data-search engine useful for various applications such as database machine and virus checker in network router TCAM must be implemented more compactly with lower power dissipation. 19

20 NV-TCAM Cell Function Search Stored data input Current result comparison B (b 1,b 2 ) S ML 0 (0,1) 1 (1,0) X don t care (0,0) 0 I Z < I Z 1 I Z > I Z 0 I Z > I Z 1 I Z < I Z 0 I Z < I Z 1 I Z < I Z 1 () 0 () 0 () 1 () 1 () 1 () 20

21 CMOS-based TCAM cell circuit ML V DD 1-bit storage Equality-detection (ED) circuit 1-bit storage WL V SS Leakage current Leakage current BL 1 SL SL BL 2 Transistor counts : 12 (ED;4T, 2-bit storage;8t) Input/output wires : 8 (BL;2, WL;1, V DD &V SS ;2, SL;2, ML;1) Always supply the power : Many leakage current path How to realize compact & cut off the leakage current? 21

22 MOS/MTJ-hybrid TCAM cell circuit S. Matsunaga, et al. Applied Physics Express (APEX), 2, 2, , Feb ML/BL 2-bit storage (MTJs) Logic (MTJs & MOSs) SL /WL 1 SL/WL 1 Merge storage into logic circuit : Compact (2T-2MTJ) Share wires : 4 (ML/BL, SL/WL, No-V DD ) 3-D stack structure : Great reduction of circuit area Compact & nonvolatile TCAM cell with MTJ devices 22

23 Power-Gating Scheme of Bit-Serial NV-TCAM 1st-bit search Search word 2nd-bit search Search word S. Matsunaga, et al., JJAP 49 (2010) 04DM05. 3rd-bit search Search word 0 0 X 0 0 X 0 0 X X 1 0 X 1 0 X X 1 0 X 1 0 X X 1 1 X 1 1 X 1 X 0 X X 0 X X 0 X X 1 0 X 1 0 X 1 0 X X 1 X X 1 X X 1 TCAM cell in active mode TCAM cell in standby mode (Static power is suppressed.) Accumulator in active mode Sense amplifier in active mode Sense amplifier in standby mode (Static power is suppressed.) According to the word length of the TCAM, the effectiveness of the standby-power reduction is increased. 23

24 TCAM cell circuit test chip 3.0 m Chip features 9.8 m Output generator in ML TCAM cell Ref. cell Dynamic current comparator in ML Process 0.14 m CMOS/MTJ 1-Poly, 3-Metal Total area 29.4 m 2 TCAM cell size Cell structure MTJ size 3.15 m 2 (2.1 m 1.5 m) a) 2MOSs-2MTJs 50 nm 200 nm TMR ratio 167 % Average write current Standby current 274 A ( p = 10 s) b) 0A (Power off) a) A CMOS-based TCAM cell with 12 transistors, whose cell size is m 2 under a 0.18 m CMOS process, has been reported. 8) The size of the conventional TCAM cell can be estimated as m 2 under a 0.14 m CMOS process by scaling down. Thus, the size of the fabricated TCAM cell is reduced to 30 % compared to that of the conventional one. Moreover, minimum size of the proposed TCAM cell can be considered as 1/6 of the conventional one. b) More high-speed write operation is possible with increase of write current. For example, with the average current 24 of 327 A at 10 ns write.

25 Waveforms of equality-search operations P : Precharge phase E : Evaluate phase P E P E P E P E P E P E CLK Stored data B=1 Stored data B=0 Stored data B=X S Search data S=0 S=1 S=0 S=1 S=0 S=1 OUT result 780mV 10 s Bit-level equality-search is successfully demonstrated. 25

26 Waveforms of sleep/wake-up operations V DD Active Power-off Active Power-off Active P E Standby P E P E Standby P E CLK Stored data B=0 Stored data B=0 S OUT 780mV 10 s S=0 S=0 S=1 S=1 OUT before =1 OUT after =1 OUT before =0 OUT after =0 Instant sleep/wake-up behavior is successfully demonstrated. 26

27 Outline Nonvolatile Logic-in-Memory Architecture Overview NV GP-Logic: Nonvolatile-FPGA NV SP-Logic: Nonvolatile-TCAM Conclusions & Future Prospects 27

28 Conclusions Propose a MOS/MTJ-hybrid circuit (nonvolatile logic-inmemory circuit using MTJ devices) style Two kinds of typical applications with logic-in-memory architecture; NV-LUT circuit and NV- TCAM Compact and no static power dissipation Confirm basic behavior with fabricated test chips under an MTJ/CMOS process. It could open an ultra-low-power logic-circuit paradigm Future Prospects and Issues: 1. Establish the fabrication line 2. Establish the CAD tools 3. Explore the appropriate application fields (Impact towards Reliability Enhancement ) 28

29 Variation-aware circuit design Minimum- Feature- Sized Circuit Probability Large Variation Switching Threshold CMOS Circuit Plane Area k 2 Large Variation LW W I D L Redundant MTJ Devices Cancel the Fluctuation of I D Small Variation Small Variation I D Process Variation- Aware-Circuit Probability Small Variation Switching Threshold CMOS Circuit Plane k 2 LW kw I D kl Area 1/k 2 MTJ Layer CMOS Circuit Plane W L Variation-compensation with less area overhead using redundant MTJs 29

30 Variation-Tunable LUT Circuit D. Suzuki, et al., SSDM Z Sense Amplifier Z I F I REF A A A A B B B B B B WL 11 WL 10 WL 01 WL 00 WL C R 11 R 10 R 01 R 00 Programmable Reference Resistor R REF (R P <R REF <R AP ) BL 0 BL 1 BL 2 Dynamic Current Source R 0 Total Resistance of MTJ Network R 1 R 2 R 3 n=3 R r R n i 1 Ri Variation-aware LUT circuit is realized by programming redundant MTJs. 30

31 31

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction

Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction November 3-4, 2011 Berkeley, CA, USA Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction Hideo Ohno 1,2 1 Center for Spintronics Integrated Systems, Tohoku University, Japan 2 Laboratory for Nanoelectronics

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

Embedded MRAM Technology For logic VLSI Application

Embedded MRAM Technology For logic VLSI Application 2011 11th Non-Volatile Memory Technology Symposium Embedded MRAM Technology For logic VLSI Application November 7, 2011 Naoki Kasai 1, Shoji Ikeda 1,2, Takahiro Hanyu 1,3, Tetsuo Endoh 1,4, and Hideo Ohno

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

DRAMATIC advances in technology scaling have given us

DRAMATIC advances in technology scaling have given us IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 6, JUNE 2004 919 Complementary Ferroelectric-Capacitor Logic for Low-Power Logic-in-Memory VLSI Hiromitsu Kimura, Member, IEEE, Takahiro Hanyu, Member,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Semiconductor Memories

Semiconductor Memories !"#"$%&'()$*#+%$*,' -"+./"$0 1'!*0"#)'2*+03*.$"4* Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 !"#$%&'()*&'*+&, Memory Classification Memory Architectures

More information

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Semiconductor Memories Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Memory Classification Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

Memory Trend. Memory Architectures The Memory Core Periphery

Memory Trend. Memory Architectures The Memory Core Periphery Semiconductor Memories: an Introduction ti Talk Overview Memory Trend Memory Classification Memory Architectures The Memory Core Periphery Reliability Semiconductor Memory Trends (up to the 90 s) Memory

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic 2015 28th International Conference 2015 on 28th VLSI International Design and Conference 2015 14th International VLSI Design Conference on Embedded Systems A Novel Ternary Content-Addressable Memory (TCAM)

More information

VLSI. Faculty. Srikanth

VLSI. Faculty. Srikanth J.B. Institute of Engineering & Technology Department of CSE COURSE FILE VLSI Faculty Srikanth J.B. Institute of Engineering & Technology Department of CSE SYLLABUS Subject Name: VLSI Subject Code: VLSI

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Author : Fabrice BERNARD-GRANGER September 18 th, 2014

Author : Fabrice BERNARD-GRANGER September 18 th, 2014 Author : September 18 th, 2014 Spintronic Introduction Spintronic Design Flow and Compact Modelling Process Variation and Design Impact Semiconductor Devices Characterisation Seminar 2 Spintronic Introduction

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Mahendra Pakala, Silicon Systems Group, AMAT Dec 16 th, 2014 AVS 2014 *All data in presentation is internal Applied generated data

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

An Overview of Spin-based Integrated Circuits

An Overview of Spin-based Integrated Circuits ASP-DAC 2014 An Overview of Spin-based Integrated Circuits Wang Kang, Weisheng Zhao, Zhaohao Wang, Jacques-Olivier Klein, Yue Zhang, Djaafar Chabi, Youguang Zhang, Dafiné Ravelosona, and Claude Chappert

More information

This document is an author-formatted work. The definitive version for citation appears as:

This document is an author-formatted work. The definitive version for citation appears as: This document is an author-formatted work. The definitive version for citation appears as: A. Roohi, R. Zand, D. Fan and R. F. DeMara, "Voltage-based Concatenatable Full Adder using Spin Hall Effect Switching,"

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

Reversible Implementation of Ternary Content Addressable Memory (TCAM) Interface with SRAM

Reversible Implementation of Ternary Content Addressable Memory (TCAM) Interface with SRAM International Journal of Electrical Electronics Computers & Mechanical Engineering (IJEECM) ISSN: 2278-2808 Volume 5 Issue 4 ǁ April. 2017 IJEECM journal of Electronics and Communication Engineering (ijeecm-jec)

More information

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies Model download website: mtj.umn.edu Jongyeon Kim 1, An Chen 2, Behtash Behin-Aein 2, Saurabh Kumar 1,

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY

Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY Magnetic Tunnel Junctions (MTJs) Structure High density memory

More information

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) SYLLABUS UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2 m CMOS Design

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2016 Final Friday, May 6 5 Problems with point weightings shown.

More information

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic Section 3: Combinational Logic Design Major Topics Design Procedure Multilevel circuits Design with XOR gates Adders and Subtractors Binary parallel adder Decoders Encoders Multiplexers Programmed Logic

More information

Page 1. A portion of this study was supported by NEDO.

Page 1. A portion of this study was supported by NEDO. MRAM : Materials and Devices Current-induced Domain Wall Motion High-speed MRAM N. Ishiwata NEC Corporation Page 1 A portion of this study was supported by NEDO. Outline Introduction Positioning and direction

More information

Semiconductor Memories

Semiconductor Memories Introduction Classification of Memory Devices "Combinational Logic" Read Write Memories Non Volatile RWM Read Only Memory Random Access Non-Random Access Static RAM FIFO Dynamic RAM LIFO Shift Register

More information

LH5P8128. CMOS 1M (128K 8) Pseudo-Static RAM PIN CONNECTIONS

LH5P8128. CMOS 1M (128K 8) Pseudo-Static RAM PIN CONNECTIONS LH5P8128 FEATURES 131,072 8 bit organization Access times (MAX.): 60/80/100 ns Cycle times (MIN.): 100/130/160 ns Single +5 V power supply Power consumption: Operating: 572/385/275 mw (MAX.) Standby (CMOS

More information

NEM Relay Design for Compact, Ultra-Low-Power Digital Logic Circuits

NEM Relay Design for Compact, Ultra-Low-Power Digital Logic Circuits NEM Relay Design for Compact, Ultra-Low-Power Digital Logic Circuits T.-J. K. Liu 1, N. Xu 1, I.-R. Chen 1, C. Qian 1, J. Fujiki 2 1 Dept. of Electrical Engineering and Computer Sciences University of

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 21: Shifters, Decoders, Muxes [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

Advanced Flash and Nano-Floating Gate Memories

Advanced Flash and Nano-Floating Gate Memories Advanced Flash and Nano-Floating Gate Memories Mater. Res. Soc. Symp. Proc. Vol. 1337 2011 Materials Research Society DOI: 10.1557/opl.2011.1028 Scaling Challenges for NAND and Replacement Memory Technology

More information

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic [dapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey,. Chandrakasan,. Nikolic] Sp11 CMPEN 411

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 017 Final Wednesday, May 3 4 Problems with point weightings shown.

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

NRAM: High Performance, Highly Reliable Emerging Memory

NRAM: High Performance, Highly Reliable Emerging Memory NRAM: High Performance, Highly Reliable Emerging Memory Sheyang Ning,2, Tomoko Ogura Iwasaki, Darlene Viviani 2, Henry Huang 2, Monte Manning 2, Thomas Rueckes 2, Ken Takeuchi Chuo University 2 Nantero

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

NONVOLATILE SPINTRONICS: PERSPECTIVES ON INSTANT-ON NONVOLATILE NANOELECTRONIC SYSTEMS

NONVOLATILE SPINTRONICS: PERSPECTIVES ON INSTANT-ON NONVOLATILE NANOELECTRONIC SYSTEMS SPIN Vol. 2, No. 2 (2012) 1250009 (22 pages) World Scienti c Publishing Company DOI: 10.1142/S2010324712500099 NONVOLATILE SPINTRONICS: PERSPECTIVES ON INSTANT-ON NONVOLATILE NANOELECTRONIC SYSTEMS K.

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

HN58C256 Series word 8-bit Electrically Erasable and Programmable CMOS ROM

HN58C256 Series word 8-bit Electrically Erasable and Programmable CMOS ROM 32768-word 8-bit Electrically Erasable and Programmable CMOS ROM ADE-203-092G (Z) Rev. 7.0 Nov. 29, 1994 Description The Hitachi HN58C256 is a electrically erasable and programmable ROM organized as 32768-word

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 19: Adder Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L19

More information

EE 434 Lecture 33. Logic Design

EE 434 Lecture 33. Logic Design EE 434 Lecture 33 Logic Design Review from last time: Ask the inverter how it will interpret logic levels V IN V OUT V H =? V L =? V LARGE V H V L V H Review from last time: The two-inverter loop X Y X

More information

F14 Memory Circuits. Lars Ohlsson

F14 Memory Circuits. Lars Ohlsson Lars Ohlsson 2018-10-18 F14 Memory Circuits Outline Combinatorial vs. sequential logic circuits Analogue multivibrator circuits Noise in digital circuits CMOS latch CMOS SR flip flop 6T SRAM cell 1T DRAM

More information

Lecture 6 NEW TYPES OF MEMORY

Lecture 6 NEW TYPES OF MEMORY Lecture 6 NEW TYPES OF MEMORY Memory Logic needs memory to function (efficiently) Current memories Volatile memory SRAM DRAM Non-volatile memory (Flash) Emerging memories Phase-change memory STT-MRAM (Ferroelectric

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

EEC 216 Lecture #2: Metrics and Logic Level Power Estimation. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #2: Metrics and Logic Level Power Estimation. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #2: Metrics and Logic Level Power Estimation Rajeevan Amirtharajah University of California, Davis Announcements PS1 available online tonight R. Amirtharajah, EEC216 Winter 2008 2 Outline

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

Content- Addressable Memory

Content- Addressable Memory A Multiple-valued Ferroelectric Content- Addressable Memory Ali Sheikholeslami. P. Glenn Gulak, and Takahiro Hanyu Abstract A novel architecture for a Multiple-Valued Ferroelectric Content-Addressable

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

Magnetic Tunnel Junction for Integrated Circuits: Scaling and Beyond

Magnetic Tunnel Junction for Integrated Circuits: Scaling and Beyond TUTORIAL: APPLIED RESEARCH IN MAGNETISM Magnetic Tunnel Junction for Integrated Circuits: Scaling and Beyond Hideo Ohno 1,2 1 Center for Spintronics Integrated Systems, Tohoku University, Japan 2 Laboratory

More information

Spintronics. Seminar report SUBMITTED TO: SUBMITTED BY:

Spintronics.  Seminar report SUBMITTED TO: SUBMITTED BY: A Seminar report On Spintronics Submitted in partial fulfillment of the requirement for the award of degree of Electronics SUBMITTED TO: SUBMITTED BY: www.studymafia.org www.studymafia.org Preface I have

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

64K x 18 Synchronous Burst RAM Pipelined Output

64K x 18 Synchronous Burst RAM Pipelined Output 298A Features Fast access times: 5, 6, 7, and 8 ns Fast clock speed: 100, 83, 66, and 50 MHz Provide high-performance 3-1-1-1 access rate Fast OE access times: 5 and 6 ns Optimal for performance (two cycle

More information

Dynamic Combinational Circuits. Dynamic Logic

Dynamic Combinational Circuits. Dynamic Logic Dynamic Combinational Circuits Dynamic circuits Charge sharing, charge redistribution Domino logic np-cmos (zipper CMOS) Krish Chakrabarty 1 Dynamic Logic Dynamic gates use a clocked pmos pullup Two modes:

More information

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison Introduction to Computer Engineering CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison Chapter 3 Digital Logic Structures Slides based on set prepared by

More information

LH5P832. CMOS 256K (32K 8) Pseudo-Static RAM

LH5P832. CMOS 256K (32K 8) Pseudo-Static RAM LH5P832 CMOS 256K (32K 8) Pseudo-Static RAM FEATURES 32,768 8 bit organization Access time: 100/120 ns (MAX.) Cycle time: 160/190 ns (MIN.) Power consumption: Operating: 357.5/303 mw Standby: 16.5 mw TTL

More information

2007 Fall: Electronic Circuits 2 CHAPTER 10. Deog-Kyoon Jeong School of Electrical Engineering

2007 Fall: Electronic Circuits 2 CHAPTER 10. Deog-Kyoon Jeong School of Electrical Engineering 007 Fall: Electronic Circuits CHAPTER 10 Digital CMOS Logic Circuits Deog-Kyoon Jeong dkjeong@snu.ac.kr k School of Electrical Engineering Seoul lnational luniversity it Introduction In this chapter, we

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology From Spin Torque Random Access Memory to Spintronic Memristor Xiaobin Wang Seagate Technology Contents Spin Torque Random Access Memory: dynamics characterization, device scale down challenges and opportunities

More information

New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM)

New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM) New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM) Hiroaki Yoda Corporate Research & Development Center, Toshiba

More information

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Takao Someya 1, Hiroshi Kawaguchi 2, Takayasu Sakurai 3 1 School of Engineering, University of Tokyo, Tokyo, JAPAN 2 Institute

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

Chapter 7. Sequential Circuits Registers, Counters, RAM

Chapter 7. Sequential Circuits Registers, Counters, RAM Chapter 7. Sequential Circuits Registers, Counters, RAM Register - a group of binary storage elements suitable for holding binary info A group of FFs constitutes a register Commonly used as temporary storage

More information