VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects

Size: px
Start display at page:

Download "VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects"

Transcription

1 VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Smruti R. Sarangi, Brian Greskamp, Radu Teodorescu, Jun Nakano, Abhishekh Tiwarii and Josep Torrellas University of Illinois at Urbana-Champaign

2 Parameter Variation Parameter Variation P V T Process Supply Voltage Temperature Threshold Voltage: V t Effective Gate Length: L eff 2

3 Process Variation is a Problem Variation of V t and L eff: Chip leakage power Chip frequency 3

4 Chip Frequency Decreases of Logic Paths of Logic Paths Timing errors Number Delay T nom Number T nom Delay T var Distribution of path delays in pipe stage: No variation Distribution of path delays in pipe stage: With variation 4

5 Implications on Design Decisions Unlikely designs will be for worst-case par. values Chips too slow or too costly to design Performance of a generation lost Alternative: design closer to avg. par values Some parts of the chip will be too slow: can we live with timing errors? Some parts of the chip will dissipate too much power: can we push it to other parts of the chip? Multi-tiered solution required: circuits, CAD, microarchitecture, software this talk focuses on μarch. 5

6 Variation components die-to-die within die systematic random spatial correlation 6

7 Modeling Process Variation Process Variation (Not to Scale) Systematic Variation Random Variation Lens aberrations Mask deformities Thickness variation in CMP Photo-lithographic effects Variable dopant density Line edge roughness 7

8 Systematic Variation We divide the chip into a grid of points Each point has one random value of ΔPsys Multivariate normal distribution (μsys, σsys) Characterized by a correlation function: Px r Correlation is position independent and isotropic For ρ(r) we choose the spherical model Random: modeled analytically at transistor granularity Py 8

9 Spherical Model Stronger correlation Weaker correlation Px P Py r Px r Py Matches measured data [Friedberg et al. 05] 9

10 Modeling Systematic Variation Multivariate normal distribution with Spherical Spatial Correlation (μ, σ, Φ) Break into a million cells Example variation map 10

11 Paths in a Pipeline Stage Density df) robability D unction (pd Pr Fu t T nom Timing errors T var Path Delay Err ror Rate (P PE) f var f 1 Frequency f nom Cummulati ive Distrib. Fun nction (cdf f) 1 pdf(t) cdf (t) T nom T var Path Delay Error rate: P E (t) = 1 cdf(t) 1 cdf 1 T nom T var Path Delay 11

12 Error-rate rate vs Frequency Error Rate (PE E) Frequency f var f nom 12

13 Basic Kinds of Structures Logic Memory ALUs, comparators, sense-amps Path delays: heterogeneous SRAMs, CAMs Path delays: homogenous Mixed Renamer, wakeup/select x% memory and (100-x)% logic 13

14 Logic Sample Path 35% Wiring 65% Logic Elmore Delay Model T g Alpha Power Law LeffVDD μ ( T )( VDD Vth ) α 14

15 Logic Delay Distribution of path delays no variation D varlogic = (d wire + η* d gate )* D logic d wire + d gate = 1 wire gate +d gate *D extra Distribution of path delays with variation Relative gate delay due to systematic variation in P,V, T Delay due to random variation Obtain D logic using a timing analysis tool 15

16 Memory Delay WL T mem 1 I Icell Solve for I cell using long channel eqns. I cell = f(vt X,Vt Y,L X,L Y ) Vt X,Vt Y,L X and L Y are gaussian variables I cell X Y V DD BL BR μ vtx, μ vty, μ lx, μ ly are the systematic components σ vtx, σ vty, σ lx, σ ly are the random components 16

17 Memory Delay - II Find a distribution for T mem T mem is a function of four gaussian variables Model T mem as a normal distribution Find the μ and σ for T mem using multi-variable Taylor expansion This is the access time dist. for 1 bit A typical entry has bits Find the max distribution of normal variables Error probability = 1 cdf(t mem ) 17

18 Memory Delay Memory Cell Memory Line Delay dist. Use Kirchoff s equations Long channel trans. equations Multi-variable Taylor expansion max. distribution Delay line = max(delay cell ) 18

19 Combined Error Model We have the delay distributions For each structure per access, P(E) = 1 cdf(t) P(E) per inst = αp(e), α=accesses/inst. Combined error rate per instruction P(E) total = Σ αp(e) CPI penalty per instruction recovery_penalty * P(E) total 19

20 Validation Logic 20

21 Validation Memory 21

22 Finding out the Distributions Using a timing analysis tool ity y Densi pdf) bability nction (p Prob Fun Adding our variation model Path Delay T nom T var 22

23 Adding Up all Pipe Stages Whole processor a + b Er rror Rate (PE) Frequency Er rror Rate (PE) b a f var f nom Frequency P ( f ) = ( αi P ( f E i Ei )) 23

24 Overview Model for Process Variation Model for Timing Errors due to Process Variation Techniques to Tolerate Timing Errors 24

25 Variation Aware Timing Speculation (VATS) Multicore Chip Checker Processor Core Diva Checker L0 Cache Razor Latches L1 Cache 25

26 Performance vs Frequency Perf ( f ) = CPI f CPI comp + stall _ mem + CPI rec P (f) x recovery_penalty E Erro or Rate (P E ) Perf Per rforman nce (Per rf) f opt Frequency 26

27 AMD Athlon-like like Processor 27

28 Conclusion Micro-architects can help solve par variation Cores that assume faults occur all the time Frequency / Power / Error rate are tradeable Techniques to mitigate variation-induced errors Develop models that give insights Work with circuits, CAD, and software folks 28

A Model for Timing Errors in Processors with Parameter Variation

A Model for Timing Errors in Processors with Parameter Variation A Model for Timing Errors in Processors with Parameter Variation Smruti R. Sarangi, Brian Greskamp, and Josep Torrellas University of Illinois at Urbana-Champaign {sarangi,greskamp,torrellas}@cs.uiuc.edu

More information

VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects

VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects Radu Teodorescu, Brian Greskamp, Jun Nakano, Smruti R. Sarangi, Abhishek Tiwari and Josep Torrellas University of

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Previously Understand how to model transistor behavior Given that we know its parameters V dd, V th, t OX, C OX, W, L, N A Day

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

Modeling and Analyzing NBTI in the Presence of Process Variation

Modeling and Analyzing NBTI in the Presence of Process Variation Modeling and Analyzing NBTI in the Presence of Process Variation Taniya Siddiqua, Sudhanva Gurumurthi, Mircea R. Stan Dept. of Computer Science, Dept. of Electrical and Computer Engg., University of Virginia

More information

High-Performance SRAM Design

High-Performance SRAM Design High-Performance SRAM Design Rahul Rao IBM Systems and Technology Group Exercise RWL WWL READ Path RWL WBL WBLb RBL WWL READ Path WBL WBLb RBL Worst case read condition : Worst case Bitline Leakage when

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 1 EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 2 Topics Clocking Clock Parameters Latch Types Requirements for reliable clocking Pipelining Optimal pipelining

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS

Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Kaushik Roy S. Mukhopadhyay, H. Mahmoodi, A. Raychowdhury, Chris Kim, S. Ghosh, K. Kang School of Electrical and Computer

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 12: Energy and Power James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L12 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Housekeeping Your goal today a working understanding of

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

Energy Delay Optimization

Energy Delay Optimization EE M216A.:. Fall 21 Lecture 8 Energy Delay Optimization Prof. Dejan Marković ee216a@gmail.com Some Common Questions Is sizing better than V DD for energy reduction? What are the optimal values of gate

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Semiconductor Memories

Semiconductor Memories !"#"$%&'()$*#+%$*,' -"+./"$0 1'!*0"#)'2*+03*.$"4* Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 !"#$%&'()*&'*+&, Memory Classification Memory Architectures

More information

Moore s Law Technology Scaling and CMOS

Moore s Law Technology Scaling and CMOS Design Challenges in Digital High Performance Circuits Outline Manoj achdev Dept. of Electrical and Computer Engineering University of Waterloo Waterloo, Ontario, Canada Power truggle ummary Moore s Law

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Semiconductor Memories Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Memory Classification Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

BeiHang Short Course, Part 7: HW Acceleration: It s about Performance, Energy and Power

BeiHang Short Course, Part 7: HW Acceleration: It s about Performance, Energy and Power BeiHang Short Course, Part 7: HW Acceleration: It s about Performance, Energy and Power James C. Hoe Department of ECE Carnegie Mellon niversity Eric S. Chung, et al., Single chip Heterogeneous Computing:

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Low power Architectures. Lecture #1:Introduction

Low power Architectures. Lecture #1:Introduction Low power Architectures Lecture #1:Introduction Dr. Avi Mendelson mendlson@ee.technion.ac.il Contributors: Ronny Ronen, Eli Savransky, Shekhar Borkar, Fred PollackP Technion, EE department Dr. Avi Mendelson,

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, and Takao Onoye Dept. Information

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000 Power in Digital CMOS Circuits Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2004 by Mark Horowitz MAH 1 Fruits of Scaling SpecInt 2000 1000.00 100.00 10.00

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Amdahl's Law. Execution time new = ((1 f) + f/s) Execution time. S. Then:

Amdahl's Law. Execution time new = ((1 f) + f/s) Execution time. S. Then: Amdahl's Law Useful for evaluating the impact of a change. (A general observation.) Insight: Improving a feature cannot improve performance beyond the use of the feature Suppose we introduce a particular

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

Floating Point Representation and Digital Logic. Lecture 11 CS301

Floating Point Representation and Digital Logic. Lecture 11 CS301 Floating Point Representation and Digital Logic Lecture 11 CS301 Administrative Daily Review of today s lecture w Due tomorrow (10/4) at 8am Lab #3 due Friday (9/7) 1:29pm HW #5 assigned w Due Monday 10/8

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

From Physics to Logic

From Physics to Logic From Physics to Logic This course aims to introduce you to the layers of abstraction of modern computer systems. We won t spend much time below the level of bits, bytes, words, and functional units, but

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

ICS 233 Computer Architecture & Assembly Language

ICS 233 Computer Architecture & Assembly Language ICS 233 Computer Architecture & Assembly Language Assignment 6 Solution 1. Identify all of the RAW data dependencies in the following code. Which dependencies are data hazards that will be resolved by

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

EE241 - Spring 2003 Advanced Digital Integrated Circuits

EE241 - Spring 2003 Advanced Digital Integrated Circuits EE241 - Spring 2003 Advanced Digital Integrated Circuits Lecture 16 Energy-Recovery Circuits SOI Technology and Circuits Optimal EDP Contours 1 Leakage and Switching ELk 2 = ESw Opt L ln d K tech α avg

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information

Objectives for Energy Reduction

Objectives for Energy Reduction genda Introduction, challenges and objectives Wireless (ody) Sensor Networks pplications, system requirements Generic architecture of a WSN node Processor and radio transceiver performance Examples of

More information

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Yuchun Ma* Zhuoyuan Li* Jason Cong Xianlong Hong Glenn Reinman Sheqin Dong* Qiang Zhou *Department of Computer Science &

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

A glance on the analytical model of power-performance performance trade-off in VLSI microprocessor design. Sapienza University of Rome

A glance on the analytical model of power-performance performance trade-off in VLSI microprocessor design. Sapienza University of Rome A glance on the analytical model of power-performance performance trade-off in VLSI microprocessor design Sapienza University of Rome Introductive ideas clock clock clock clock Reg. V dd = 3.3 V T CK =

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Low Leakage L SRAM Design in Deep Submicron Technologies

Low Leakage L SRAM Design in Deep Submicron Technologies Low Leakage L SRAM Design in Deep Submicron Technologies Behnam Amelifard, Farzan Fallah, and Massoud Pedram Univ. of Southern California Los Angeles CA USA Jan25 25, 28 Presentation at SNU Outline Introduction

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

EE 330 Lecture 37. Digital Circuits. Other Logic Families. Propagation Delay basic characterization Device Sizing (Inverter and multiple-input gates)

EE 330 Lecture 37. Digital Circuits. Other Logic Families. Propagation Delay basic characterization Device Sizing (Inverter and multiple-input gates) EE 330 Lecture 37 Digital Circuits Other Logic Families Static Power Dissipation Propagation Delay basic characterization Device Sizing (Inverter and multiple-input gates) Review from Last Time Inverter

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić Timing Issues January 2003 1 Synchronous Timing CLK In R Combinational 1 R Logic 2 C in C out Out 2

More information

Process-Tolerant Low-Power Design for the Nano-meter Regime

Process-Tolerant Low-Power Design for the Nano-meter Regime Process-Tolerant Low-Power Design for the Nano-meter Regime Kaushik Roy Electrical & Computer Engineering Purdue University Exponential Increase in Leakage 1970 1980 2000 2010 2020 5 µm 1 µm 100 nm 10

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage Lei He, Weiping Liao and Mircea R. Stan EE Department, University of California, Los Angeles 90095 ECE Department,

More information

The Elusive Metric for Low-Power Architecture Research

The Elusive Metric for Low-Power Architecture Research The Elusive Metric for Low-Power Architecture Research Hsien-Hsin Hsin Sean Lee Joshua B. Fryman A. Utku Diril Yuvraj S. Dhillon Center for Experimental Research in Computer Systems Georgia Institute of

More information

Answers. Name: Grade: Q1 Q2 Q3 Q4 Total mean: 83, stdev: 14. ESE370 Fall 2017

Answers. Name: Grade: Q1 Q2 Q3 Q4 Total mean: 83, stdev: 14. ESE370 Fall 2017 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2017 Midterm 2 Monday, November 6 Point values

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Digital Integrated Circuits 2nd Inverter

Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits The Inverter The CMOS Inverter V DD Analysis Inverter complex gate Cost V in V out complexity & Area Integrity and robustness C L Static behavior Performance Dynamic response

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information