Carbon Inside: Next-Generation Interconnects for Green Electronics. Kaustav Banerjee

Size: px
Start display at page:

Download "Carbon Inside: Next-Generation Interconnects for Green Electronics. Kaustav Banerjee"

Transcription

1 17 th IEEE Workshop on Signal and Power Integrity, Paris, France, May 12-15, 2013 UC Santa Barbara Carbon Inside: Next-Generation Interconnects for Green Electronics Kaustav Banerjee Department of Electrical and Computer Engineering Nan

2 Energy Consumption in IT Industry: Significant Fraction due to Data Centers Data center 41% 28% 31% IT equipment Power delivery Cooling IT equipment 63% 22% 15% Computer servers Storage servers Communication devices 31% 8% Computer servers 6% 13 % 4% 16% 20% Source: data center efficiency in the scalable enterprise, Dell power solutions, Feb CPUs CPU voltage rgulator loss Memory Miscellaneous components Chipset Power supply loss Hard disk drives

3 Why does Green Electronics Matter? CO2 (Million Metric Tons) -24% % % -33% Transportation Industruial Residential Commercial With Green Electronics Without Green Electronics With improved efficiency of IT usage, around 30% reduction per year in GHG is achievable, which is equivalent to gross energy and fuel savings of 315 billion U.S. dollars!!! Source: 2009 U.S. Greenhouse Gas Inventory Report, April

4 Relative On-Chip Communication On-die Global IC energy/mm Compute Energy 0.8 Interconnect Energy X X Technology (nm) S. Borkar (Intel) On-die global interconnect energy scales slower than compute On-die data movement energy will start to dominate Need Green Interconnections

5 J max (A/cm 2 ) Interconnect Reliability Requirements 2009 ITRS Revision Required current density is increasing with scaling Maximum allowed current density for Cu wire is decreasing Courtesy: ITRS Interconnect working group Also, see: Global (Interconnect) Warming, IEEE Circuits & Devices Magazine, Vol. 17, No. 5, pp , Sept Red Areas: no known solutions! from 2015 onwards Need Reliable Interconnections

6 Leakage (Wasted) Power in Active Devices Need Green Transistors

7 So, How can we design Green Electronics? I will use Carbon nanomaterials

8 Forms of Carbon allotropes 3D: diamond graphite 2D: graphene Carbon atom can form several distinct types of valence bonds. 1D: nanotube nanoribbon 0D: fullerenes

9 Crystal & Band Structure of Graphene Zero bandgap Linear E-k near Dirac point Close to zero effective mass Electrons and holes are equal

10 2D and 1D Carbon Nanomaterials Graphene: Thinnest 2D Crystal Roll-up Stack and Pattern Pattern Carbon Nanotubes Graphene Mono-layer Graphene Nano-Ribbon (GNR) Single-wall: 1/3 metallic Multi-wall: all conducting GNR Bandgap: inversely proportional to its width Multi-layer GNR

11 Superb Properties of CNT and Graphene Max current density (A/cm 2 ) Si Cu SWCNT MWCNT >1x10 9 Radosavljevic, et al., >1x109 Wei, et al., Appl. Phys. Lett., Phys. Rev. B, Melting point (K) (graphite) Tensile strength (GPa) ± Graphene or GNR >1x10 8 Novoselov, et al., Science, 2001 Mobility (cm 2 /V-s) 1400 >10000 >10000 Thermal conductivity ( 10 3 W/m-K) Temp. Coefficient of Resistance (10-3 /K) Mean free path room temp Hone, et al., Phys. Rev. B, 1999 <1.1 Kane, et al., Europhys. Lett., 1998 >1,000 McEuen, et al., Trans. Nano., Kim, et al., Phys. Rev. Lett., Kwano et al., Nano Lett., ,000 Li, et al., Phys. Rev. Lett., Balandin, et al., Nano Lett., Shao et al., Appl. Phys. Lett., 2008 ~1,000 Bolotin, et al., Phys. Rev. Lett., 2008

12 Circuit Model.for Predictive Analysis H. Li, C. Xu, N. Srivastava, and K. Banerjee TED, vol. 56, no. 9, pp , 2009 For SWCNTs and GNRs R mc /2 R Q /2 L M R Q /2 R mc /2 R S L K Driver Distributed C Q C E Load For MWCNTs (includes DWCNTs) R t Driver C out R mc /2 R Q1 /2 R Q2 /2 R Qp /2 R S2 L K1 R Sp L K2 L Kp R S1 C Q1C Q2 C S1 Distributed Elements M 12 L M1 M 1p L M2 G T1 L Mp G Tp-1 C E CQp C Sp-1 R Q1 /2 R Q2 /2 innermost shell R Qp /2 p 2 R mc /2 1, outermost shell Parameters extracted from available experimental data. C Load Load

13 Delay Comparison: Intermediate and Global SWCNT ( Fm=1) SWCNT (Fm =1/3) DWCNT (D=1.5nm) MWCNT (D=14nm) d-gnr ( p =0.41) d-gnr ( p=1) Delay Ratio w.r.t Cu Intermediate Length of Interconnect [ m] Delay Ratio w.r.t Cu nm technology node Global Length of Interconnect [ m] Almost all CNTs could outperform Cu (> 2x faster) H. Li et al., TED, vol. 56, no For GNR, intercalation doping and high specularity are needed

14 Interconnect Power Dissipation 51% 34% N. Magen et al., SLIP, pp. 7-13, Interconnect Logic (gate capacitance) Logic (diffusion capacitance) 15% Interconnect Delay Optimization under Power Constraints L l l FF FF FF s s s s s s Clock Clock Clock K. Banerjee and A. Mehrotra IEEE TED, vol. 49, no. 11, pp , 2002.

15 Low Power Interconnects Inverter insertion configuration: Cu SWCNT Fm=1 MWCNT GNR p=1 s s s s s Lower delay allows larger distance between inverters, thus reduces the power s s s Percentage (%) nm 14 nm If delay is kept identical to Cu optimal delay CNT/GNR global interconnect could save ~50% power!! H. Li, C. Xu and K. Banerjee, Carbon Nanomaterials: The Ideal Interconnect Technology for Next-Generation ICs IEEE Design and Test, vol. 27, no.4, pp , 2010

16 High-Frequency Behavior of CNT Bundle H. Li and K. Banerjee, TED, vol. 56, no. 10, 2009 I 2 E j E Skin Depth [ m] y z x E z W SW, D= 1nm, Fm=1/3 SW, D= 1nm, Fm= Frequency [GHz] H MW, D=40 nm MW, D=20 nm Cu τ~1 τ<< [( ) 1] ( ) 1 0 ~ s for standard metals > s for CNTs (Large Kinetic Inductance) CNT: skin depths saturate after certain frequencies Saturation depends on the momentum relaxation time ( ) MWCNT has largest skin depth and lowest saturation frequency due to large MFP or

17 Low Loss CNT Interconnects Resistance [ ] Cu wire SWCNT D=1nm, Fm=1 MWCNT D=20nm MWCNT D=40nm Length = 500 m Width=2 m, Height=1 m Frequency [GHz] Inductance [nh] MWCNT D=40nm MWCNT D=20nm SWCNT D=1nm Fm=1 Cu wire Length = 500 m Width=2 m, Height=1 m Frequency [GHz] H. Li and K. Banerjee, TED, vol. 56, no. 9, 2009 SWCNT: resistance/inductance saturates at high frequencies MWCNT: negligible shift in resistance/inductance Significantly reduced skin effect in CNT interconnects!

18 High-Q CNT/GNR based Low-Loss Inductors Quality Factor sub = 10 -cm Cu SWCNT Fm=1/3 SWCNT Fm=1 MWCNT D=10nm MWCNT D=20nm MWCNT D=40nm 142% H. Li and K. Banerjee, TED, vol. 56, no. 9, 2009 CNTs can provide better performance than Cu MWCNT gives 2.4X higher Q factor than that of Cu GNR shows an improvement of: ~20% over Cu ~50% over 1/3 metallic SWCNT Quality Factor Frequency [GHz] 50 GNR p=0 sub = 10 -cm GNR p=1 40 Cu SWCNT, Fm=1/3 MWCNT, D=10 nm 30 (a) Frequency (GHz) 10 D. Sarkar, C. Xu, H. Li and K. Banerjee, TED, Vol. 58, March 2011.

19 CNT based Through-Si-Vias for 3-D ICs Why Carbon Nanotubes for TSVs? High electrical and thermal conductivity Large current carrying capacity Reduced skin effect Bottom-up growth high aspect ratio K CNT [W/m-K] SW,D=0.47 nm SW,D=0.7 nm SW,D=1 nm MW,D=5 nm MW,D=10 nm T= 400K Length [nm] H. Li et al., IEEE TED, 58(8), Resistance (m / m) SWCNT: D = 1 nm, 1/3 Metallic MWCNT: D = 20 nm SWCNT MWCNT Cu W T. Xu et al., APL, Frequency (Hz) C. Xu et al., IEEE TED, 57(12), TSV Analysis

20 480 nm CNT Based High-Density Capacitors H. Li, C. Xu, N. Srivastava, and K. Banerjee, TED, vol. 56, no. 9, pp , 2009 Take advantage of bottom up approach to achieve high aspect ratio d 210 nm 460 nm 20 nm 20 nm (a) Circular Height = 1 m Electrostatic capacitance density (ff/μm 2 ) Total effective capacitance density (including C Q, ff/μm 2 ) Circular Square (Dense, d = 0.34 nm) Square (Sparse, d = 20 nm) 20 nm 20 nm (b) Square Much larger than ITRS requirement for 2014: 7 ff/ m 2

21 H. Li, C. Xu, N. Srivastava, and K. Banerjee, Carbon nanomaterials for next generation interconnects and passives: Physics, status, and prospects, TED, vol. 56, no. 9, pp , 2009.

22 CNT Interconnect Fabrication.Top-down Via Process all MWCNTs [Kreupl et. al., (Infineon) IEDM, 2004] [Sato et. al., (Fujitsu) IITC, 2006] [Awano et al., (Fujitsu) 2006] [Choi et. al., (Samsung), 2006] [Nihei et. al., (Fujitsu) IITC, 2007] [Dijon et. al., (LETI) 2009]

23 Status of Horizontal CNT Bundles Long CNTs are grown individually or in sparse monolayer array Not interconnects S. J. Kang et al., Nature Nano., 2007 Some good attempts (but short lengths ) Fujitsu s tryouts Courtesy: M. Nihei, Fujitsu Plasma induced E-field in-situ growth Y. Chai et al., APL, 2009 Law et al., APL, 2007

24 First Demonstration of Long Horizontal CNT Bundle UCSB [H. Li et. al., (UCSB), to appear in IEEE-TED, Sept. 2013] Longer than 120 m horizontal CNT bundle Thickness ranges from 100nm 2 m 50 m Raman TEM SEM

25 Characterization of Horizontal CNT Bundle Interconnects [H. Li et. al., (UCSB), to appear in IEEE-TED, Sept. 2013] W30-T7 W30-T14 W30-T21 W30-T28 TLM Measurement Result Linear TLM curves prove the success of our process Resistivity < 2 m -cm, the lowest reported value for H-CNT bundle (2-100 m -cm for other works) Still more than 100x higher resistivity than same size Cu (~2 m-cm) To lower the resistivity: (1) higher density; (2) smaller diameter; (3) higher quality of as-grown CNT

26 First Demonstration of Horizontal CNT Bundle Based Manhattan Structure [H. Li et. al., (UCSB), to appear in IEEE-TED, Sept. 2013] First demonstration of a Kanji (b) character using horizontal CNT bundles 100 m

27 Fabrication of First CNT Inductor [H. Li et. al., (UCSB), to appear in IEEE-TED, Sept. 2013] First time demonstration of CNT bundle based inductor Single turn with diameter m Segment width m, thickness 300nm - 2 m 100 m

28 CMOS Scaling and Energy Issue Dimension scaling Oxide thickness scaling V DD scaling Increase transistor density Higher Performance Energy Efficiency E V DD 2 log(i D ) High Off Current Low Off Current V DD scaling Solution to leakage Issue: Steeper turn on Aggressive scaling leads to an exponential increase in leakage power!!! V G

29 Ideal-Switch: Greenest Transistor! An ideal switch Drain current (log(id)) Δlog(I d ) ΔV gs Solid-state devices S = ΔV gs /Δlog(I d ) V th Gate voltage (V gs ) Subthreshold Swing (S) should be as low as possible!!

30 MOSFET vs. Tunnel-FET Source Gate Oxide Drain n + Channel n + p Source Gate Oxide Drain p + i Channel n( + ) Oxide Gate E C (Leakage) OFF Current barrier(φ bi ) barrier(e g ) E C (Nearly No Leakage) OFF Current Source E V Drain Source E V Drain Channel Channel Source E C E V Channel S 60 mv/decade (Tunnel Current) ON Current ON Current Drain Source Channel Drain Y. Khatami and K. Banerjee, TED, vol. 56, no. 11, S << 60 mv/decade

31 Graphene for Tunnel-FET Source Gate Drain E g1 W 1 E g2 W 2 C - Heterojunction GNR T-FET 1x10-3 Due to their ZERO BANDGAP Graphene is a great material for Tunnel-FETs.. I DS (A/ m) 1x10-5 1x10-7 1x V DD =0.5 V R C =13 k L g =20 nm W1(nm) W2(nm) V GS (V) I ON = 1 ma/μm, I ON /I OFF = 10 9, SS = 10 mv/dec V DD = 0.5 V, and L ch = 20 nm Y. Khatami, M. Krall, H. Li, C. Xu and K. Banerjee, Device Research Conference, 2010, pp

32 All-Graphene Monolithic Logic Circuits Patterning by Deposition and Doping Lithography Patterning Source andofdrain metal and oxide VDD Graphene interconnects Via N+ Inverter 1 VIN GNR PTFET GNR i PTFET P VG1 VOUT2 VG2 V N-Drain OUT i-channel GNR P+-Source NTFET GND Via VIN Inverter 2 Graphene interconnects Inverter 1 VOUT Inverter 2 (Size=2) (Unit size) VOUT2 J. Kang, D. Sarkar, Y. Khatami and K. Banerjee, UCSB, (2013) under review Kaustav Banerjee, UCSB MRS Fall Symposium, Nov 30, 2010, IEEE SPI,Boston, Paris, MA May 14, 2013 Nan

33 Performance Evaluation 22nm-CMOS (Low Power Model) 22nm-CMOS (High Performance Model) All-Graphene Monolithic Logic Circuits Noise Margin (/V DD ) Minimum Delay (s) Inverter Noise Margin V DD (V) Inverter Delay V DD (V) P dym (W)(Log) Inverter Gain V DD (V) Power Consumption Max Frequency (Hz) Inverter Gain

34 Graphene FETs with Record Mobility: synthesized using CVD; controlled synthesis of monolayer and bilayer graphene demonstrated W. Liu, H. Li, C. Xu, Y. Khatami and K. Banerjee, "Synthesis of High-Quality Monolayer and Bilayer Graphene on Copper using Chemical Vapor Deposition," CARBON, Vol. 49, No. 13, pp , 2011.

35 First ESD Characterization of Graphene (UCSB/Intel) H. Li, C. Russ, W. Liu, D. Johnsson, H. Gossner and K. Banerjee, 34 th EOS/ESD Symp., 2012, pp It2 per Micron (ma/ m) layer 3 layer TLP Currrent (ma) W=3.8 m L = TLP Voltage (V) open 0 a b c d e f Devices Breakdown current: 4.5mA/ m for 100 ns TLP and 8mA/ m for 10 ns TLP Maximum Current density: 2-5x 10 8 A/cm 2 Graphene devices show clear open cut in the channel after breakdown

36 First Proposal for Tunnel-FET Biosensors: Ultra-Low Power and Ultra-Sensitive CNT and Graphene are excellent candidates. D. Sarkar and K. Banerjee, APL, 100, , 2012.

37 TFET Biosensor in Research Highlights of Nature Nanotechnology (May 2012)

38 PNAS 2005 Beyond-Graphene 2D-Materials Transition Metal Dichalcogenides TMD Family Layered; hexagonal ev Bandgaps Demonstration of exfoliated MoS 2 Measured mobility 0.5 ~ 3 cm 2 /Vs Low gate modulation

39 High Performance Monolayer n-type WSe 2 FET a b (a) 3L 2L c 1.6 ev 1L Monolayer WSe 2 with a bandage of ev Optical contrast and Raman mapping can estimate thickness of few layer WSe 2 High mobility: 142 cm 2 /V.s Record On Current : 210 µa/µm L: 3.5µm W: 3µm W. Liu et al., Nano Letters, Vol. 13, no. 5, pp , 2013.

40 Conclusion 2D dielectric E 2D metal 2D semiconductors k x k y N B h-bn Bandgap: 5 ev Graphene Bandgap: 0 ev Transition Metal Dichalcogenides MoS 2, WSe 2, etc. Bandgap: ev Graphene and emerging 2D materials offer unique platform for designing energy-efficient green electronics Carbon-based interconnects/electrodes as well as active devices could provide unprecedented opportunities

41 Acknowledgments NRL Members: Wei Liu Postdoctoral Fellow PhD 2008, Institute of Chemistry- Chinese Academy of Sciences Navin Srivstava PhD March 2009 now with Mentor Graphics, R&D group, Oregon Hong Li PhD Sept 2012 now with Micron Technology, R&D group, Idaho Deblina Sarkar PhD Candidate Chuan Xu PhD June 2012 now with Maxim Integrated Systems, R&D group, Oregon Yasin Khatami PhD Candidate Xuejun Xie PhD Student Jiahao Kang PhD Student Wei Cao PhD Student

NATO Advanced Research Workshop on Fundamental and Applied NanoElectroMagnetics (FANEM), May 25-27, 2015, Minsk, Belarus.

NATO Advanced Research Workshop on Fundamental and Applied NanoElectroMagnetics (FANEM), May 25-27, 2015, Minsk, Belarus. NATO Advanced Research Workshop on Fundamental and Applied NanoElectroMagnetics (FANEM), May 25-27, 2015, Minsk, Belarus 2D Crystals for Nanoelectronics and Beyond.. Kaustav Banerjee Department of Electrical

More information

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834, p- ISSN: 2278-8735. Volume 4, Issue 6 (Jan. - Feb. 2013), PP 32-36 Modeling and Performance analysis of Metallic

More information

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Infineon Technologies Corporate Research Munich, Germany Outline

More information

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara Forms of Carbon Carbon atom can form several distinct types of valence

More information

Invited Paper. Graphene and Beyond-Graphene 2D Crystals for Next-Generation Green Electronics

Invited Paper. Graphene and Beyond-Graphene 2D Crystals for Next-Generation Green Electronics Invited Paper Graphene and Beyond-Graphene 2D Crystals for Next-Generation Green Electronics Jiahao Kang, Wei Cao, Xuejun Xie, Deblina Sarkar, Wei Liu and Kaustav Banerjee* Department of Electrical and

More information

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Sou-Chi Chang, Rouhollah M. Iraei Vachan Kumar, Ahmet Ceyhan and Azad Naeemi School of Electrical & Computer Engineering Georgia Institute

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

Manufacture of Nanostructures for Power Electronics Applications

Manufacture of Nanostructures for Power Electronics Applications Manufacture of Nanostructures for Power Electronics Applications Brian Hunt and Jon Lai Etamota Corporation 2672 E. Walnut St. Pasadena, CA 91107 APEC, Palm Springs Feb. 23rd, 2010 1 Background Outline

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect.

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. G.Chitra 1, P.Murugeswari 2 1 (Post Graduate Student, VLSI Design, Theni Kammavar Sangam College of Technology, Theni, India)

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Supporting Information

Supporting Information Supporting Information Monolithically Integrated Flexible Black Phosphorus Complementary Inverter Circuits Yuanda Liu, and Kah-Wee Ang* Department of Electrical and Computer Engineering National University

More information

Nanocarbon Technology for Development of Innovative Devices

Nanocarbon Technology for Development of Innovative Devices Nanocarbon Technology for Development of Innovative Devices Shintaro Sato Daiyu Kondo Shinichi Hirose Junichi Yamaguchi Graphene, a one-atom-thick honeycomb lattice made of carbon, and a carbon nanotube,

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Carbon Nanotube Electronics

Carbon Nanotube Electronics Carbon Nanotube Electronics Jeorg Appenzeller, Phaedon Avouris, Vincent Derycke, Stefan Heinz, Richard Martel, Marko Radosavljevic, Jerry Tersoff, Shalom Wind H.-S. Philip Wong hspwong@us.ibm.com IBM T.J.

More information

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS International Journal of Science, Engineering and Technology Research (IJSETR), Volume 4, Issue 8, August 15 COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS Priya Srivastav, Asst. Prof.

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies

EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies Prof. Sherief Reda Division of Engineering Brown University Fall 2008 1 Near-term emerging computing

More information

SWCNT Based Interconnect Modeling Using Verilog-AMS

SWCNT Based Interconnect Modeling Using Verilog-AMS SW Based Interconnect odeling Using Verilog-AS Hafizur Rahaman, Debaprasad Das*, and Avishek Sinha Roy** School of VSI Technology, Bengal Engineering and Science University, Shibpur, India. Email: rahaman_h@it.becs.ac.in,

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2 Properties of CNT d = 2.46 n 2 2 1 + n1n2 + n2 2π Metallic: 2n 1 +n 2 =3q Armchair structure always metallic a) Graphite Valence(π) and Conduction(π*) states touch at six points(fermi points) Carbon Nanotube:

More information

Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors

Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors David Jiménez Departament d'enginyeria Electrònica, Escola d'enginyeria, Universitat Autònoma de Barcelona,

More information

ECE 497 JS Lecture - 12 Device Technologies

ECE 497 JS Lecture - 12 Device Technologies ECE 497 JS Lecture - 12 Device Technologies Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 NMOS Transistor 2 ρ Source channel charge density

More information

Nanocarbon Interconnects - From 1D to 3D

Nanocarbon Interconnects - From 1D to 3D Nanocarbon Interconnects - From 1D to 3D Cary Y. Yang Santa Clara University Outline Introduction CNT as 1D interconnect structure CNT-graphene as all-carbon 3D interconnect Summary Device Scaling driven

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Cheng-Ying Huang 1, Sanghoon Lee 1, Evan Wilson 3, Pengyu Long 3, Michael Povolotskyi 3, Varistha Chobpattana

More information

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS Thesis submitted in the partial fulfillment of the requirement for the award of degree of MASTER OF TECHNOLOGY IN VLSI

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

Graphene Novel Material for Nanoelectronics

Graphene Novel Material for Nanoelectronics Graphene Novel Material for Nanoelectronics Shintaro Sato Naoki Harada Daiyu Kondo Mari Ohfuchi (Manuscript received May 12, 2009) Graphene is a flat monolayer of carbon atoms with a two-dimensional honeycomb

More information

AS MOSFETS reach nanometer dimensions, power consumption

AS MOSFETS reach nanometer dimensions, power consumption 1 Analytical Model for a Tunnel Field-Effect Transistor Abstract The tunnel field-effect transistor (TFET) is a promising candidate for the succession of the MOSFET at nanometer dimensions. Due to the

More information

Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor

Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor Hendrik Bluhm Andre Kruth Lotte Geck Carsten Degenhardt 1 0 Ψ 1 Quantum Computing

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part - Circuits Dr.. J. Wassell Gates from Transistors ntroduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits The

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures

Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures 1 Milad Abtin, 2 Ali Naderi 1 Department of electrical engineering, Masjed

More information

Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon. Nanotubes. Yung-Fu Chen and M. S. Fuhrer

Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon. Nanotubes. Yung-Fu Chen and M. S. Fuhrer Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon Nanotubes Yung-Fu Chen and M. S. Fuhrer Department of Physics and Center for Superconductivity Research, University of Maryland,

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT International Journal of Mechanical Engineering and Technology (IJMET) Volume 9, Issue 7, July 2018, pp. 345 353, Article ID: IJMET_09_07_039 Available online at http://www.iaeme.com/ijmet/issues.asp?jtype=ijmet&vtype=9&itype=7

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view) CMPEN 411 VLSI Digital Circuits Lecture 04: CMOS Inverter (static view) Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN

More information

Benefits of Stacked-Wafer Capacitors for High-Frequency Buck Converters

Benefits of Stacked-Wafer Capacitors for High-Frequency Buck Converters Benefits of Stacked-Wafer Capacitors for High-Frequency Buck Converters Michael W. Baker, PhD Maxim Integrated Power SoC Northeastern University, Boston MA. October 7, 2014 Mobile Device Trends Power Management

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices? EECS 498/598: Nanocircuits and Nanoarchitectures Lecture 1: Introduction to Nanotelectronic Devices (Sept. 5) Lectures 2: ITRS Nanoelectronics Road Map (Sept 7) Lecture 3: Nanodevices; Guest Lecture by

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

Wafer-scale fabrication of graphene

Wafer-scale fabrication of graphene Wafer-scale fabrication of graphene Sten Vollebregt, MSc Delft University of Technology, Delft Institute of Mircosystems and Nanotechnology Delft University of Technology Challenge the future Delft University

More information

CMOS Inverter (static view)

CMOS Inverter (static view) Review: Design Abstraction Levels SYSTEM CMOS Inverter (static view) + MODULE GATE [Adapted from Chapter 5. 5.3 CIRCUIT of G DEVICE Rabaey s Digital Integrated Circuits,, J. Rabaey et al.] S D Review:

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 Transistor Resistance Two

More information

Understanding the effect of n-type and p-type doping in the channel of graphene nanoribbon transistor

Understanding the effect of n-type and p-type doping in the channel of graphene nanoribbon transistor Bull. Mater. Sci., Vol. 39, No. 5, September 2016, pp. 1303 1309. DOI 10.1007/s12034-016-1277-9 c Indian Academy of Sciences. Understanding the effect of n-type and p-type doping in the channel of graphene

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

CVD growth of Graphene. SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014

CVD growth of Graphene. SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014 CVD growth of Graphene SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014 Graphene zigzag armchair History 1500: Pencil-Is it made of lead? 1789: Graphite 1987: The first

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 15: March 15, 2018 Euler Paths, Energy Basics and Optimization Midterm! Midterm " Mean: 89.7 " Standard Dev: 8.12 2 Lecture Outline! Euler

More information

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis Microsystems Technology Laboratories, MIT 1 presently with Teledyne Scientific 23rd International

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 Introduction So far, we have treated transistors

More information

Digital Integrated Circuits

Digital Integrated Circuits Chapter 6 The CMOS Inverter 1 Contents Introduction (MOST models) 0, 1 st, 2 nd order The CMOS inverter : The static behavior: o DC transfer characteristics, o Short-circuit current The CMOS inverter :

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Step 1. Finding V M. Goal: Þnd V M = input voltage for the output = V M both transistors are saturated at V IN = V M since

Step 1. Finding V M. Goal: Þnd V M = input voltage for the output = V M both transistors are saturated at V IN = V M since Step 1. Finding V M Goal: Þnd V M = input voltage for the output = V M both transistors are saturated at V IN = V M since V DSn = V M - 0 > V M - V Tn V SDp = V DD - V M = (V DD - V M ) V Tp Equate drain

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University Acknowledgments: Abigail Lubow, Xiao Sun, Shufeng Ren Switching Speed of CMOS

More information

ASIC FPGA Chip hip Design Pow Po e w r e Di ssipation ssipa Mahdi Shabany

ASIC FPGA Chip hip Design Pow Po e w r e Di ssipation ssipa Mahdi Shabany ASIC/FPGA Chip Design Power Di ssipation Mahdi Shabany Department tof Electrical ti lengineering i Sharif University of technology Outline Introduction o Dynamic Power Dissipation Static Power Dissipation

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

Supporting information

Supporting information Supporting information Design, Modeling and Fabrication of CVD Grown MoS 2 Circuits with E-Mode FETs for Large-Area Electronics Lili Yu 1*, Dina El-Damak 1*, Ujwal Radhakrishna 1, Xi Ling 1, Ahmad Zubair

More information

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 21, 2017 Transmission Gates, Euler Paths, Energy Basics Review Midterm! Midterm " Mean: 79.5 " Standard Dev: 14.5 2 Lecture Outline!

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 Postdoctoral Research Associate Center for Low Energy Systems Technology (LEAST), Department of Electrical Engineering University of Notre Dame, B20

More information

Miscellaneous Lecture topics. Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.]

Miscellaneous Lecture topics. Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] Miscellaneous Lecture topics Mary Jane Irwin [dapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] MOS Switches MOS transistors can be viewed as simple switches. In an N-Switch, the

More information

ARCHITECTURE AND CAD FOR CARBON NANOMATERIAL INTEGRATED CIRCUITS SCOTT ERICK CHILSTEDT THESIS

ARCHITECTURE AND CAD FOR CARBON NANOMATERIAL INTEGRATED CIRCUITS SCOTT ERICK CHILSTEDT THESIS ARCHITECTURE AND CAD FOR CARBON NANOMATERIAL INTEGRATED CIRCUITS BY SCOTT ERICK CHILSTEDT THESIS Submitted in partial fulfillment of the requirements for the degree of Master of Science in Electrical and

More information

Sub-Boltzmann Transistors with Piezoelectric Gate Barriers

Sub-Boltzmann Transistors with Piezoelectric Gate Barriers Sub-Boltzmann Transistors with Piezoelectric Gate Barriers Raj Jana, Gregory Snider, Debdeep Jena Electrical Engineering University of Notre Dame 29 Oct, 2013 rjana1@nd.edu Raj Jana, E3S 2013, Berkeley

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

A Numerical Study of Scaling Issues for Schottky Barrier Carbon Nanotube Transistors

A Numerical Study of Scaling Issues for Schottky Barrier Carbon Nanotube Transistors A Numerical Study of Scaling Issues for Schottky Barrier Carbon Nanotube Transistors Jing Guo, Supriyo Datta and Mark Lundstrom School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Carbon-Based Electronics: Will there be a carbon age to follow the silicon age? Jeffrey Bokor EECS Department UC Berkeley

Carbon-Based Electronics: Will there be a carbon age to follow the silicon age? Jeffrey Bokor EECS Department UC Berkeley Carbon-Based Electronics: Will there be a carbon age to follow the silicon age? Jeffrey Bokor EECS Department UC Berkeley jbokor@eecs.berkeley.edu Solid State Seminar 9-13-13 1 Outline Review of development

More information

ECE-305: Fall 2017 MOS Capacitors and Transistors

ECE-305: Fall 2017 MOS Capacitors and Transistors ECE-305: Fall 2017 MOS Capacitors and Transistors Pierret, Semiconductor Device Fundamentals (SDF) Chapters 15+16 (pp. 525-530, 563-599) Professor Peter Bermel Electrical and Computer Engineering Purdue

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

The Prospects for III-Vs

The Prospects for III-Vs 10 nm CMOS: The Prospects for III-Vs J. A. del Alamo, Dae-Hyun Kim 1, Donghyun Jin, and Taewoo Kim Microsystems Technology Laboratories, MIT 1 Presently with Teledyne Scientific 2010 European Materials

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Directions for simulation of beyond-cmos devices. Dmitri Nikonov, George Bourianoff, Mark Stettler

Directions for simulation of beyond-cmos devices. Dmitri Nikonov, George Bourianoff, Mark Stettler Directions for simulation of beyond-cmos devices Dmitri Nikonov, George Bourianoff, Mark Stettler Outline Challenges and responses in nanoelectronic simulation Limits for electronic devices and motivation

More information

Chun Yung Sung Science and Technology Strategy Department IBM T.J. Watson Research Center Yorktown, NY, USA

Chun Yung Sung Science and Technology Strategy Department IBM T.J. Watson Research Center Yorktown, NY, USA 51. Reconfigurable Multi-Function Logic Based on Graphene P-N Junctions Sansiri Tanachutiwat, Ji Ung Lee, and Wei Wang College of Nanoscale Science and Engineering University at Albany, State University

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information