EUV lithography industrialization for HVM

Size: px
Start display at page:

Download "EUV lithography industrialization for HVM"

Transcription

1 EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo

2 Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

3 EUV development has progressed over 30 years from NGL to HVM insertion Slide 3 1 st lithography (LLNL, Bell Labs, Japan) ASML starts EUVL research program ASML ships 2 alpha demo tools: IMEC (Belgium) and CNSE (USA) ASML ships 1 st pre-production NA 0.25 system NXE:3100 ASML ships 1 st NA 0.33 system NXE:3300B ASML ships 1 st HVM NA0.33 system NXE:3400B USA USA NL NL NL Japan USA NL NL NL NL 5 mm 160 nm 80 nm 70 nm L&S 40 nm hp 28 nm Lines and spaces 19 nm Lines and spaces 13 nm L/S 7 nm and 5 nm node structures

4 ~1.6M wafers exposed on NXE:3xy0B at customer sites Currently 15 systems running in the field. First system was shipped Q Slide

5 EUV extension roadmap introduction 55 WPH 125 WPH 145 WPH 185 WPH Overlay [nm] Slide NXE:3300B NXE:3350B NXE:3400B 3 NXE:next <3 High NA <2 products under study

6 Outline Slide 6 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

7 NXE:3400B: 13 nm resolution at full productivity Supporting 5 nm logic, <15 nm DRAM requirements Slide 7 Reticle Stage Improved clamp flatness for focus and overlay Projection Optics Continuously Improved aberration performance New Flex-illuminator Sigma 1.0 outer sigma, reduced PFR (0.20) Resolution Full wafer CDU DCO 13 nm < 1.1 nm < 1.4 nm Overlay set up Set-up and modeling improvements MMO Focus control Productivity < 2.0 nm < 60 nm 125 WPH 125WPH Reduced overhead Improved source power Wafer Stage Flatter clamps, improved dynamics and stability Overlay Imaging/Focus Productivity

8 3400B illuminator: increased pupil flexibility at full throughput Slide 8 Tachyon NXE: 3300 NXE: 3400 Pupil filling improvement from 3300 to 3400 with approximately 20% higher transmission or 10% higher throughput

9 rms [nm] NCE [nm] Evolutionary improvements in EUV optics enabling 7 nm and 5 nm nodes for imaging, focus and overlay Slide 9 Entire 3xy0 population shows wavefront improvements 0.4 wavefront rms 1.0 lens distortion NCE (Z2/3) NXE:3300B NXE:3350B NXE:3400B NXE:3300B NXE:3350B NXE:3400B systems systems Source: Carl Zeiss SMT AG

10 16 nm IS small-conventional 13 nm LS leafshape dipole 13 nm LS and 16 nm IS: full-wafer CDU 0.3 nm meets 5 nm logic requirements, with excellent process windows Slide Inpria YA series Dose 34 mj, LWR 3.8 nm DOF 160 nm, EL 20.7% Intrafield CDU Full wafer CDU 0.0 A B C D E F G CAR EUVJ-4051 Dose 58 mj, LWR 3.2 nm DOF 140 nm, EL 18.7% Intrafield CDU Full wafer CDU 0.0 A B C D E F G

11 Delta PBA [nm] Proximity matching well within specification Tool-to-tool matching is precondition to HVM Slide nm PBR 16 nm PBA Test item Proximity bias range, 5 pitches, uniformity in slit, 16 nm spaces Proximity bias average, 5 pitches, 16 nm spaces Unit Actual nm 0.4 nm P32-H P37-H P42-H P48-H P64-H P112-H P42-V P48-V P64-V P112-V -0.5 Pitch & orientation Higher flexibility of 3400 illuminator can be used to mimic all NXE:3350 settings Plus, throughput loss on NXE:3350 will be recovered for aggressive illumination modes Minor differences in lens optics are not significant factors in matching

12 1.9 nm Matched overlay NXT:2000i to NXE:3400B Champion data including lens fingerprint correction on NXT Slide 12 NXT:2000i NXE:3400B full wafer Results per wafer NXT:2000 NXE:3400B Setup done with new reference wafers (4.2) NXT2000i layer exposed with pellicle NXT (average population) lens fingerprint correction via Reticle Writing Correction simulated (1.9,1.9) nm OVL (X,Y) NXE at max scan speed (300mm/s) Overlay measured to reference (MMO) NXT:2000 MMO NXE:3400 MMO NXT to NXE matching 1.8,1.6 nm 1.2,1.3 nm 1.9,1.9 nm

13 Focus Uniformity and focus stability [nm] Multiple machines show < 6 nm focus uniformity Slide Specification uniformity and stability Focus Focus Uniformity uniformity 6 nm across wafer focus variation Focus Uniformity Focus stability 3 day A B C D E F G H NXE:3400B

14 Outline Slide 14 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

15 Two-fold approach to eliminate reticle front-side defects 1. Clean system (without pellicle) 2. EUV pellicle EUV Reticle (13.5nm) Reticle Slide 15 pellicle Reflected illumination particle Reticle Reticle with pellicle

16 1. Clean system Reticle front-side defectivity - Improvement categories Slide 16 Avoid that particles in scanner reach critical areas Clean environment and reticle handling Avoid particle generation in scanner

17 Defects a.u. 1. Clean system 4x improvement for reticle defectivity Scanner in-situ cleaning and hydrogen curtains provide ~4x improvement Flushing, POD improvements and shielded reticle clamp Slide 17 HVM

18 # of defects 2. EUV pellicle Today: Pellicle film produced without defects that print Slide 18 Defect size in um > 40 > > Zero defects Improvement from Q to now

19 Source if (W) 2. EUV pellicle ASML pellicle capability confirmed to at least 140W Slide Pellicle removed intact from scanner for analysis Defectivity wafers analyzed: zero defect adders! Enhanced cooling enables >200W usage Defects analyzed at seven instances during marathon run: zero adders Wafer number 22 nm Patterned Defect reticle exposed on NXE:3400B

20 EUV: Principles of Generation Slide SW

21 Laser Produced Plasma Density and Temperature Nishihara et al. (2008) Ion density ~ #/cm 3 Temperature ~ ev Slide SW EUV LPP

22 Fundamentals: EUV Generation in LPP Laser produced plasma (LPP) as an EUV emitter Slide 22 EUV 2017 SW 30 micron diameter tin droplet tin ions EUV Focused Laser light EUV electrons Dense hot Plasma ejecta microparticles tin vapor EUV 1. High power laser interacts with liquid tin producing a plasma. 2. Plasma is heated to high temperatures creating EUV radiation. 3. Radiation is collected and used to pattern wafers. Tin Laser Produced Plasma Image

23 Power (arb. Units) 500μm Plasma simulation capabilities Main-pulse modeling using HYDRA Slide SW Main-pulse shape 1D: real pulse shape 1D simulations are fast and useful for problems that require rapid feedback and less accuracy Electron density (top half) with laser light (bottom half) 2D: + symmeterized beam profile Time 500μm 500μm 500μm 3D: + real asymmetric profile Sn target using a real irradiance distribution Target 2D and 3D simulations are run for the full duration of the Main pulse. Results include temperature, electron density, spectral emission, etc.

24 Conversion Efficiency (%) Fluence (J/cm2/S/mm) Simulation of the EUV source The plasma code s outputs were processed to produce synthetic source data. The comparison to experiments helps to validate the code and understand it s accuracy. Reflected laser modeling Collector rim Emission and debris anisotropy Slide SW Conversion Efficiency Simulated EUV spectra Measured Shadowgrams Simulation Simulated Shadowgrams Target Diameter (µm) Wavelength (nm)

25 Dose controlled EUV power (W) Summary: Path from Technology development with PPIM/MPIM to Industrialized module Slide 25 Technology Development SD Proto: Manufactured and stand-alone test in San Diego 250W achieved 250 System 225 Integration EUV Power History Shipment in 2017 Research Shipped Year Data: Wk W with 99% die yield measured

26 Dose controlled EUV power (W) Progress for 2017: 250W demonstrated EUV power (source/scanner interface, [W]) CO 2 power [W] * Conversion Efficiency [%] * 1 Dose Overhead [%] Slide SW 250 System 225 Integration Shipment in 2017 Research Shipped >250W is now demonstrated, shipping planned end of 2017 Increase average and peak laser power Enhanced isolation technology Advanced target formation technology Improved dose-control technique Year

27 EUV Source operation at 250W with 99.9% fields meeting dose spec Slide SW Operation Parameters Repetition Rate 50kHz MP power on droplet 21.5kW Conversion Efficiency 6.0% Collector Reflectivity 41% Dose Margin 10% EUV Power 250 W Open Loop Performance Baseline Improved Isolation

28 Throughput [Wafers per hour] NXE productivity above 125 wafers per hour NXE:3400B, 126 WPH at 207W using proto version Seed table Isolation Module 130 Slide NXE:3400B ASML factory (proto) 60 NXE:3400B at customers NXE:3300B at customers NXE:3350B ASML factory NXE:3350B at customers NXE:3400B ASML factory Q Q Q Q Q Q Q Q Q Q Q3 NXE:3400B ATP test: 26x33mm2, 96 fields, 20mJ/cm2

29 Productivity increases via source availability Secured EUV power is matched with increasing availability Productivity = Throughput( EUV Power) Availability Slide SW EUV Power= (CO 2 laser power CE transmission)*(1-dose overhead) Raw EUV power Source power from 10 W to > 250 W Drive laser power Conversion efficiency (CE) from 20 to >20kW from 2 to 6% (Sn droplet) Dose overhead from 50 to 10% Optical transmission Source availability Automation Collector protection Droplet generator reliability & lifetime Drive laser reliability

30 Conversion Efficiency (%) Conversion efficiency (%) Enhanced isolation leads to >205W EUV power via advanced target formation for high CE Slide SW NOMO (shipped) 3100 MOPA (research) 3100 MOPA+PP (research) 3300 MOPA+PP (shipped) 3400 MOPA+PP (research) 3400 MOPA+PP (Shipping 2017) Introduction of prepulse Advanced target formation with enhanced isolation Conversion Efficiency vs target type Year

31 Histogram Histogram Dose overhead required to meet dose spec Enhanced isolation improves EUV performance Benefits of enhanced isolation: Higher, stable CO 2 laser power lower dose overhead High conversion efficiency operation higher pulse energy Slide SW Open Loop Performance >30% Before enhanced With enhanced isolation isolation ~30% 30% 3.9mJ 5.1mJ Reduced Sigma 20% <10.5% 10% Without enhanced isolation With enhanced isolation

32 Collector protection secured up to 250 W Collector protection demonstrated on research tool Slide SW

33 EUV at IF (mj) Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ 375W in-burst at 50kHz W research platform Slide SW Average of 800 sequential bursts EUV source discussed in this presentation Short burst EUV demonstration on research platform Main pulse peak power at plasma (MW)

34 Outline Slide 34 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

35 High-NA optics design available Larger elements with tighter specifications Reticle level Extreme aspheres enabling further improved wavefront / imaging performance Slide 35 Tight surface specifications enabling low straylight / high contrast imaging Big last mirror driven by High NA Obscuration enables higher optics transmission Wafer level NA 0.25 NA 0.33 NA >0.5 Design examples Source: Zeiss, EUV lithography optics for sub-9 nm resolution, Proc. SPIE 9422, (2015).

36 Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6 masks Reticle Reticle layout compatible with today 6 mask production Projection with 0.33 NA Mag X: 4x Mag Y: 4x Slide mm x 104 mm y 6 mask x 33 mm 26 mm y Source: Jan van Schoot, ASML, EUV roadmap extension by higher NA, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima Wafer

37 Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6 masks Reticle Reticle layout compatible with today 6 mask production Projection with >0.5 NA Mag X: 4x Mag Y: 4x Slide mm x 104 mm y 6 mask x 33 mm 26 mm y Large angles result in low contrast Source: Jan van Schoot, ASML, EUV roadmap extension by higher NA, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima Wafer

38 Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6 masks Reticle Reticle layout compatible with today 6 mask production Projection with >0.5 NA Mag X: 4x Mag Y: 8x Slide mm x y 104 mm 6 mask x 16,5 mm y 26 mm Source: Jan van Schoot, ASML, EUV roadmap extension by higher NA, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima Wafer

39 Reflectivity [%] Anamorphic magnification solves the problem at the mask 70% Multilayer Reflectivity Slide 39 60% 50% 40% 0.33NA Mag 4x X Y 30% 20% 10% 0% 0.55NA Mag 4x/8x Y - 8x Y - 4x X Angle of incidence on the mask [deg] Multilayer

40 New CAR Resists: towards 16nm resolution at full throughput 21 mj/cm 2 achieved with good performance; Z-factor improved by 25% Slide 40 > Reference CAR1 CAR2 CAR3 SEM Dose2Size [mj/cm 2 ] LWR [nm] EL LQD [%] DOF [nm] Z-factor [mj/cm 2 * nm 3 ] 1.6E E E E-08 * Exposures performed on NXE:3xy0 with Dip90Y illumination

41 Conclusion Significant progress has been made in all key areas towards insertion in HVM Slide 41 >125 WPH demonstrated on NXE:3400B EUV lithographic performance results confirmed: Imaging CDU 0.4 nm NXT to NXE overlay matching 1.9 nm Roadmap exists to continue to scale productivity

42

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

NSR-2205i14E (6" Reticle Type)

NSR-2205i14E (6 Reticle Type) Step-and-Repeat System NSR-2205i14E (6" Reticle Type) ACCEPTANCE TEST Nikon Precision Inc. January 9, 1998 JCW 1/98 22i14EAT01 1 NSR-2205i14E ACCEPTANCE TEST ITEMS (6" Reticle Type) No. Item Specification

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler, J. van Schoot, J. de Klerk. This paper was first presented

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

The Waferstepper Challenge: Innovation and Reliability despite Complexity

The Waferstepper Challenge: Innovation and Reliability despite Complexity : Innovation and Reliability despite Complexity by Gerrit Muller University of South-Eastern Norway-NISE e-mail: gaudisite@gmail.com www.gaudisite.nl Abstract The function of the waferstepper is explained

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Overview European EUVL programme

Overview European EUVL programme Rob Hartman Overview European EUVL programme Rob Hartman 3 rd International EUVL Symposium Miyazaki, 3 November, 2004 Rob Hartman Agenda When EUV? European programme in risk areas Source Tool & Optics

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

Laser Based Diagnostics for Measuring H - Beam Parameters

Laser Based Diagnostics for Measuring H - Beam Parameters Laser Based Diagnostics for Measuring H - Beam Parameters Yun Liu on behalf of Beam Instrumentation Group Research Accelerator Division Spallation Neutron Source Oak Ridge National Laboratory OUTLINE Overview

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 100W EUV light-source key component technology update for HVM Tsukasa Hori, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe,

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

RS-C Flexible Reticle Stocker

RS-C Flexible Reticle Stocker RS-C Flexible Reticle Stocker CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time Full Flexibility The RS-C is a fully

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

High-resolution EUV Microstepper tool for resist testing & technology evaluation

High-resolution EUV Microstepper tool for resist testing & technology evaluation High-resolution EUV Microstepper tool for resist testing & technology evaluation A Brunton, J Cashmore, P Elbourn, G Elliner, M Gower, P Grünewald, M Harman, S Hough, N McEntee, S Mundair, D Rees, P Richards,

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Alex I. Ershov, G.G. Padmabandu, Jeremy Tyler, Palash P. Das Cymer, Inc. 16750 Via Del Campo Court, San Diego, CA 92127

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

Behavior of candidate organic pellicle materials under 157 nm laser irradiation

Behavior of candidate organic pellicle materials under 157 nm laser irradiation Behavior of candidate organic pellicle materials under 157 nm laser irradiation A. Grenville Intel / International SEMATECH Austin, TX 78741-6499 V. Liberman, M. Rothschild, J.H.C. Sedlacek Lincoln Laboratory,

More information

EUVL Optics lifetime and contamination. European Update

EUVL Optics lifetime and contamination. European Update EUVL Optics life and contamination European Update EUVL Symposium 27 TWG Optics Contamination and Life Sapporo Bas Wolschrijn, TNO 1/12 -.5-1 -2-1% productivity 2 4 8 1. EUV intensity [au].5. -.5-1. -2..

More information