Critical Dimension Uniformity using Reticle Inspection Tool

Size: px
Start display at page:

Download "Critical Dimension Uniformity using Reticle Inspection Tool"

Transcription

1 Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom, a Maciej Rudzinski, a Craig Wood, a Jeff McMurran a Photronics nanofab North America, Boise, ID, b KLA-Tencor Corporation, San Jose, CA, The Critical Dimension Uniformity (CDU) specification on photomasks continues to decrease with each successive node. The ITRS roadmap for optical masks indicates that the CDU (3 sigma) for dense lines on binary or attenuated phase shift mask is 3.4nm for the 45nm half-pitch (45HP) node and will decrease to 2.4nm for the 32HP node. The current capability of leading-edge mask shop patterning processes results in CDU variation across the photomask of a similar magnitude. Hence, we are entering a phase where the mask CDU specification is approaching the limit of the capability of the current Process of Record (POR). Mask shops have started exploring more active mechanisms to improve the CDU capability of the mask process. A typical application is feeding back the CDU data to adjust the mask writer dose to compensate for non-uniformity in the CDs, resulting in improved quality of subsequent masks. Mask makers are currently using the CD-SEM tool for this application. While the resolution of SEM data ensures its position as the industry standard and continued requirement to establish the photomask CD Mean to Target value, a dense measurement of CDs across the reticle with minimal cycle time impact would have value. In this paper, we describe the basic theory and application of a new, reticle inspection intensity-based CDU approach that has the advantage of dense sampling over larger areas on the mask. The TeraScanHR high NA reticle inspection system is used in this study; it can scan the entire reticle at relatively high throughput, and is ideally suited for collecting dense CDU data. We describe results obtained on advanced memory masks and discuss applications of CDU maps for optimizing the mask manufacturing process. A reticle inspection map of CDU is complementary to CD-SEM data. The dense data set has value for various applications, including feedback to mask writer and engineering analysis within the mask shop. 1 Introduction CD control, specifically CDU and Mean to Target (MTT) values, are critical specifications for a photomask. Nonuniformity in reticle CDs and deviation from target CD cause significant yield loss during chip manufacturing, as well as reducing the optimal process window for advanced semiconductor manufacturing. The CDU and MTT information can also be used to improve the mask manufacturing process. Mask writer global exposure dose is adjusted to compensate for MTT shift. The CDU can also be corrected on the latest pattern generator tools using a number of advanced techniques. Currently, SEM CD measurement tools are used to certify the various CD metrics for reticles prior to shipment. The measurement of the CD on a SEM is an absolute measure of CD in the reticle x-y plane that uses a single pattern for the reference. However, measuring enough sites to perform the regression analysis required to correct CDU in a feedback-loop significantly increases time at the CD SEM production step and impacts mask cycle time. Mask shops would prefer a CDU map which contains dense measurements and covers large areas on the mask. Since the mask inspection tool scans the entire reticle, the inspection system is ideally placed to generate a CDU map. This dense map can also be utilized to detect localized CD errors or hot spots that may be missed by SEM measurement due to the granular nature of the CD SEM measurement process. The output of the map can be used to optimize the mask Photomask Technology 2009, edited by Larry S. Zurbrick, M. Warren Montgomery, Proc. of SPIE Vol. 7488, 74881O 2009 SPIE CCC code: X/09/$18 doi: / Proc. of SPIE Vol O-1

2 shop s process by utilizing higher order corrections to the mask writer or identifying issues with develop and/or etch processes. The newly-developed icdu algorithm on the TeraScanHR measures the relative variation in the MoSi fill factor over a reticle that is populated with a consistent pattern. Reflected intensity information is collected during a normal Die-Die inspection in 1000 x 2000 pixel blocks, called super pixels (figure 1). The data is automatically analyzed for repeating geometry. When there is no repeating pattern, reflectivity measurements are dropped from the icdu uniformity plot. Manipulation of raw data is performed in the TeraScan review software. Due to the size of the super pixel a large number of features are considered in the calculation. Depending on the mask layout a patch may contain thousands of features., This large area coverage greatly reduces the influence of any random error on the measurement compared to a single point for the CD SEM, enabling global CD trends on the mask to be easily identified. Figure 1 Spatial comparison of measurement techniques. 2. EXPERIMENTAL OVERVIEW A number of masks were used to evaluate the capability of the new icdu algorithm on a TeraScanHR, including repeating memory patterns and standard line monitor test plates using straight-forward line and space patterns. The evaluation looked at a number of use cases including but not limited to: 2.1 Correlation to CD SEM The current POR within the mask shop is to use the CD SEM for both the measurement of MTT and the calculation of the mask CDU. This limited data sample can be used to feedback to the writer to correct CD targeting and as a high order correction to the local dose mapping on the writer to correct CDU. As such it is important that the icdu output correlates to the current POR. Proc. of SPIE Vol O-2

3 2.2 Feedback to e-beam Writer One powerful tool available to most mask manufacturers is positional dose correction (PDC) feedback to the write tool in order to remove any systematic CD uniformity signature. The current POR for characterizing the signature is to perform a fine grid of CD measurements across the reticle field. The CD results are mathematically regressed to generate an equation representing the error versus the coordinate system. This process, though necessary, can be time consuming, impacting metrology capacity as well as product cycle time. An experiment was conducted to determine the viability of using the output uniformity map created from icdu to create the PDC equation. 2.3 Process Monitor As icdu works concurrently with the die-to-die patterned mask inspection, it is valuable to generate dense CD Uniformity plots to monitor the in-line process and use this data to further drive process improvement and optimize mask manufacturing methodologies. Such applications could include monitoring etch chambers, resist coat, bake and develop applications, as well as potential e-beam pattern generator excursions. 3.1 Correlation to CD SEM. 3. RESULTS AND DISCUSION The TeraScan review software contains the ability to import a reference CD SEM file provided by the mask manufacturer. Figure 2 Comparison of the spatial signature between icdu and CD SEM with the resulting XY correlation A correlation can then be established between the CD SEM data and the resultant icdu map. As depicted in Figure 2, the icdu map exhibits a very good spatial correlation to the imported CD SEM data plot. The resultant point by point correlation shows a relatively good fit. However, where the range of CD error is very low, R 2 is not a good metric for the point to point correlation as signal to noise ratio is significantly reduced and the resultant data emanates from mostly residual factors such as system noise and other extraneous variables. As the range increases then the correlation and R 2 factor become more relevant and in such cases icdu demonstrates a very good linear fit to the measured CD SEM values. Figure 3 shows the resultant correlation of icdu to reference measurements from the CD SEM. The data is generated from a stacked analysis of three copies of the same mask; two of the copies have artificially induced CD Uniformity errors. As can be seen from the correlation study a 10% change in CD as measured by the SEM correlates to a 7.4% change in grey scale value as observed by icdu. This factor will vary with the measured feature and the ratio of MoSi/Quartz. The resultant R 2 score for this correlation study was 91%. Proc. of SPIE Vol O-3

4 . Figure 3 Correlation Study icdu to CD SEM 3.2 Feedback to writer. The experiment flow outlined in figure 4 was conducted to determine the viability of using the output uniformity map created from icdu on the TeraScanHR to create the PDC equation. This additional data set can be seen as complementary to the current plan of record as it provides a dense grid that may enable a smoother best fit to be established and thus an improved correction scheme. Figure 4 Process flow and Feedback mechanisms. The icdu Review software allows the user to select the desired output grid so as to match the requirements of the target toolset. In this case a 1mm grid was generated and used. This resultant data file is then exported as a de-limited text file which is then imported into the analysis script. A standard process monitor line-space pattern was used. In the first instance the mask was written with no PDC correction file being applied during the write step. The mask was then inspected using icdu and the resultant data file was used to generate a feedback correction file. Proc. of SPIE Vol O-4

5 Figure 5 Pre Correction icdu output data analyzed using a 4 th order polynomial fit. And the resultant CD Histogram The resultant data was analyzed using a 4 th order polynomial fit and then regressed to generate an equation representing the error versus the mask writer coordinate system. Writing a mask with this correction file based on the icdu generated file resulted in the following mask data. Figure 6 Post writer PDC icdu output data analyzed using a 4 th order polynomial fit and the resultant CD Histogram The corrected reticle demonstrates a significant improvement over the uncorrected mask. Sigma is reduced from 0.78 % to 0.47% and the overall distribution of the CDs is tightly distributed around the desired 0% error. This performance is in Proc. of SPIE Vol O-5

6 line with the expected improvement that may be achieved from the current POR of CD SEM measurements on a dense grid whilst having the advantage of being generated concurrently with defect inspection data. The icdu approach thus represents a viable alternative to the CD SEM for PDC feedback. 3.3 In-line process monitor icdu can effectively be used as an in-line process monitor. Since it runs concurrently with the defect inspection, the mask manufacturer can generate both defect data and a dense CDU map in a single mask manufacturing step. Other potential use-cases can be to qualify etch chambers or other process tools after either routine maintenance or unscheduled process excursions. For example, if a dense line-space pattern is manufactured, the complete process could be qualified for defect rate, contamination count and process uniformity in one inspection. The high sampling rate of icdu enables the user to identify sources of process variation such as resist striations, develop process variation, hot plate temperature uniformity and/or etch uniformity issues. This can be useful for advanced process development and extended production monitoring. Such issues will rarely be observed by measurement on the CD SEM alone due to the sparse nature of the measurements. One type of process-induced variation that can occur that cannot be detected in an x-y plane measurement is phase-shift in the photomask. Gross process-induced phase change is difficult to measure in-line, and virtually indiscernible during the normal inspection and metrology sequence. A study was conducted using a test mask incorporating several processed regions with varying phase angles. Using reflected light, icdu was effective at detecting the regions of phase change as prescribed on the mask, and showed good agreement with the CD plot as measured on wafer (figure 8). Figure 8 icdu plot compared to Wafer CD plot in variable phase-angle test reticle. Proc. of SPIE Vol O-6

7 3.4 Mask Re-Qualification and Inline Reticle Monitoring. icdu can also be a useful tool for periodic re-qualification or inline monitoring of reticles in production and to help manufacturers avoid unnecessary pellicle replacement. Prolonged exposure to 193nm radiation can cause changes to the reticle surface, and exposure conditions can be correlated to surface/cd uniformity via icdu mapping. Dose increases on mask and changes in wafer properties can be characterized through a simple inspection, to provide more accurate lifetime data for reticle exposure. An in depth study of inline monitoring and mask requalification via icdu inspection is underway, and will be reported in a future technical publication 4. CONCLUSION The icdu algorithm on the TeraScanHR has been successfully used to inspect and generate CDU uniformity plots for a large and varied number of high end memory critical mask layers. The feature has been used to successfully generate feedback correction files to the e-beam pattern generator tool. icdu has demonstrated further capability in engineering review and process monitoring in the mask shop to ensure that potential process excursions are captured and addressed in an expedient manner. 5. ACKNOWLEDGEMENTS Additional contributions by personnel from Photronics and KLA-Tencor are acknowledged and appreciated. 6. REFERENCES [1] International Technology Roadmap for Semiconductors, Lithography (2008) [2] Chen, C.J., et al., Global CD Uniformity Improvement Using Dose Modulation and Pattern Correction of Pattern Density-Dependent and Position-Dependent Errors, Proc. SPIE 5446, (2004) [3] Dayal, A., et al., Results from the KLA-Tencor TeraScanXR reticle inspection tool, Proc. SPIE 7122, (2008) [4] KLA-Tencor LMW Advanced Mask CD SEM Metrology System Product Specification [5] Yongkyoo Choi., et al., The study to enhance the mask global CD uniformity by removing local CD variation, Proc. SPIE 6518, 65183E (2007) Proc. of SPIE Vol O-7

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Single Pass Die to Database Tritone Reticle Inspection Capability

Single Pass Die to Database Tritone Reticle Inspection Capability Single Pass Die to Database Tritone Reticle Inspection Capability Bryan Reese, KLA-Tencor Corporation, Austin, Texas, USA Jan Heumann, AMTC, Dresden, Germany Norbert Schmidt, KLA-Tencor Corporation, Dresden,

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Story. Cover. An Automated Method for Overlay Sample Plan Optimization Cover Story An Automated Method for Overlay Sample Plan Optimization Xuemei Chen, Moshe E. Preil, KLA-Tencor Corporation Mathilde Le Goff-Dussable, Mireille Maenhoudt, IMEC, Leuven, Belgium In this paper,

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology,

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Process Watch: Having Confidence in Your Confidence Level

Process Watch: Having Confidence in Your Confidence Level Process Watch: Having Confidence in Your Confidence Level By Douglas G. Sutherland and David W. Price Author s Note: The Process Watch series explores key concepts about process control defect inspection

More information

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials JawWuk Ju a, MinGyu Kim a, JuHan Lee a, Stuart Sherwin b, George Hoo c, DongSub Choi d, Dohwa Lee d, Sanghuck Jeon d, Kangsan

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

The study for image placement repeatability of EUV mask on the flat chuck

The study for image placement repeatability of EUV mask on the flat chuck The study for image placement repeatability of EUV mask on the flat chuck Shusuke Yoshitake, Hitoshi Sunaoshi, Shuichi Tamamushi, Soichiro Mitsui 1, Munehiro Ogasawara 1, Takeyuki Yamada 2, Tsutomu Shoki

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Using FLOTHERM and the Command Center to Exploit the Principle of Superposition

Using FLOTHERM and the Command Center to Exploit the Principle of Superposition Using FLOTHERM and the Command Center to Exploit the Principle of Superposition Paul Gauché Flomerics Inc. 257 Turnpike Road, Suite 100 Southborough, MA 01772 Phone: (508) 357-2012 Fax: (508) 357-2013

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry B. Bodermann, S. Bonifer, E. Buhr, A. Diener, M. Wurm, Physikalisch-Technische Bundesanstalt, Braunschweig, Germany

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Van den Heuvel b a Carl Zeiss SMS GmbH - Carl-Zeiss-Promenade 10, 07745 Jena, / Industriestraße

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 6, Ver. I (Nov.-Dec. 2017), PP 23-28 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Process-Simulation-Flow And Metrology

More information

Pulsed IR Thermography for Package Applications

Pulsed IR Thermography for Package Applications R Pulsed IR Thermography for Package Applications Yongmei Liu, Rajen Dias, Assembly Technology Development, Quality and Reliability Intel Corporation 5000 W. Chandler Blvd. Chandler, AZ 85226, USA 10/28/02

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Engineered Excellence A Journal for Process and Device Engineers Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Introduction Fabrication

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 001 by e Society of Photo-Optical Instrumentation Engineers. This paper was published in e proceedings of Photomask and X-Ray Mask Technology VIII SPIE Vol. 4409, pp. 194-03. It is made available

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Resist Heating Dependence on Subfield Scheduling in 50kV Electron Beam Maskmaking

Resist Heating Dependence on Subfield Scheduling in 50kV Electron Beam Maskmaking Resist Heating Dependence on Subfield Scheduling in 50kV Electron Beam Maskmaking Sergey Babin, Andrew B. Kahng, Ion I. Măndoiu, and Swamy Muddu Soft Services, 586 Dunnigan Ct., Castro Valley, CA 94546,

More information

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Thermal Resistance Measurement

Thermal Resistance Measurement Optotherm, Inc. 2591 Wexford-Bayne Rd Suite 304 Sewickley, PA 15143 USA phone +1 (724) 940-7600 fax +1 (724) 940-7611 www.optotherm.com Optotherm Sentris/Micro Application Note Thermal Resistance Measurement

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 EDITION METROLOGY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

The MEEF Shall Inherit the Earth

The MEEF Shall Inherit the Earth The MEEF Shall Inherit the Earth. 1 1 2 2.3 4 Will Conley, Cesar Garza, Mircea Dusa, Robert Socha, Joseph Bendik, Chris Mack 1Motorola Austin, TX 2ASML Mask Tools San Jose, CA 3Dynamic Intelligence Inc.

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information