Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Size: px
Start display at page:

Download "Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography"

Transcription

1 Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning motivation CDU and overlay requirements for single exposure Entangled CDU and overlay requirements for double patterning Approaches to maximizing combined window Conclusions SPIE 69-3 / Slide

2 Why Double Patterning? NAND Flash DRAM Logic / SRAM Resolution, Shrin nk (nm) AT: XT:.7 ~.3.9 ~.35 XT:7i ASML Product XT:9i Introduction NEXT EUV 6 Transistor SRAM Cell.36 ~. Immersion Double Patterning Note: Process development.5 ~ years in advance / updated /7 Year of Production Start* SPIE 69-3 / Slide 3 EUV Outline Double patterning motivation CDU and overlay requirements for single exposure Entangled CDU and overlay requirements for double patterning Approaches to maximizing combined window Conclusions SPIE 69-3 / Slide

3 Single Exposure Isolated and Dense Lines (D) Target CD dense Real CD is smaller than target CD Error caused by litho step Target CD iso Lines and spaces printed together L S L S Nominally L = L = L iso S =S Dense One population of lines One population of spaces Iso SPIE 69-3 / Slide 5 ITRS Roadmap for Single Exposure CDU & Overlay 6 Overlay (nm) 8 6 Overlay is % of half pitch CDU is 7 % of half pitch 5 3 CD Uniformity (nm m) Resolution - half pitch (nm) SPIE 69-3 / Slide 6 Ref: ITRS 7 3

4 5nm isolated lines /.35NA.. nm nm Full wafer.8 nm Intrafield.9 nm Horizontal Factory qualification: Resist and reticle correctables removed SPIE 69-3 / Slide 7 Vertical 5nm Isolated Lines-Full Wafer CDU (see M. van de Kerkhof, 69-67) Prediction.6nm Measured.7nm (avg) 5 nm Isolated line CDU Performance NA=., σ in =.5, σ out =.7 Wafer 8% Reticle NCE 8% 5 Intra field Full wafer Scanner % Metology % nm 3 Process NCE % System SPIE 69-3 / Slide 8 Average Maximum CDU 5 nm Iso Intrafield.. CDU 5 nm Iso Full wafer.7.

5 . nm 5nm dense lines /.35NA. nm Full wafer. nm. nm Intrafield Horizontal Vertical SPIE 69-3 / Slide 9 5nm dense lines- full wafer CDU Prediction.nm Measured.nm (avg) 5 nm Dense Lines Wafer % CDU Performance NA=.35, σ in =.79, σ out =.9 Reticle NCE % 5 Intra field Full wafer nm 3 Scanner % Metrology 5% Process NCE % System SPIE 69-3 / Slide Average Maximum CDU 5 nm Dense Intrafield.5. CDU 5 nm Dense Full wafer..9 5

6 XT:9i: 38nm dense line CDU.35 NA, dipole illumination full wafer CDU =.6 nm intrafield CDU =. nm SPIE 69-3 / Slide From Scanner-centric dense/iso CDU to a real layout case: Predicted CDU performance of 5nm NAND WL Total CDU is Root Square Sum (RSS) of all contributors CDU total = CDU focus / dose + CDU i= MSD,Flare,... i CDU [nm] F=nm F=5nm F=nm. System CDU Reticle Total CDU Focus/Dose/MSD/Flare from Bossung convolved with system budgets NA/sigma/polarization/reticle contribution based on NAND real feature sensitivity Metrology and Processing contributions are neglected SPIE 69-3 / Slide 6

7 Predicted CDU contributions of 5nm NAND WL from scanner primary parameters + reticle Drawn layout (non-optimized for litho) Scalar simulation of a scalar mask Constraints/requirements: nm (3σ) reticle non-uniformity; it Scanner focus control budget of 3nm (8σ) Contribu ution to BF [nm 3σ] WL6 WL3 WL WL SG L.. ½ P.. SG and SG n* ½ P. NA σ(center) MSDxy MSDz Flare DOP Dose Focus Reticle NCE SPIE 69-3 / Slide 3 Entangled CD & Overlay control for Design Rule decision What is the minimum Contact to Gate edge-to-edge separation supported by a technology node? X MIN_wafer XMIN _ wafer > a Where the a parameter is set by device reliability requirements to avoid shorts failures NAND Logic X = X Tol MIN _ wafer NOM _ wafer X = X + Gate Contact NOM _ wafer design shrink increase CD control OL control ( 3 ) ( 3 ) ( ) CH CD Gate CD OL _ Gate to CH Tol = σ + σ + σ 3 3 ( 3 ) ( 3 ) ( ) X a Gate CH σ σ σ design > CDshrink + 3 CDincrease + 3 CHCD + GateCD + OL _ Gate to CH SPIE 69-3 / Slide 7

8 3 nm 6T SRAM: cell area shrink example (see S. Verhaegen, 695-6) ACTIVE GATE T pass -T pass L T pass -T pu T pu -T pu W pass extension T pass T pu T pd Memory architecture:.33 μm N ROW = 5 N COL = 6 N RedCol = L pd T pd W pd T pu W pu L pu gap T pass Parametric yield limit Layout parameter Yields when there is no short contact to gate Spacer Strain CH CD gate CD + overlay Minimum contacted pitch 5% smaller cell requires % better overlay 6% better CDU Pass transistor SPIE 69-3 / Slide 5 Pull-down transistor Bitline contact Internal node GND contact Process overlay errors can be partly compensated with higher order grid corrections Raw Overlay (before GridMapper) Gate to Active XT:7i GridMapper Reticle and field contributions account ~5% of the residual overlay after grid corrections M + 3S =. Grid corrected (using GridMapper) Grid corrected + avg field removed M + 3S =5. SPIE 69-3 / Slide 6 M + 3S =. 8

9 Current Immersion tools can show significant overlay < 5nm on process wafers DP layer aligned to STI; NA.; Best wafer of Courtesy: Hynix (see Won-Kwang Ma et al, 69-3) SPIE 69-3 / Slide 7 Single machine Overlay: towards < and <3nm Major new designs to improve Overlay (see Jos deklerk, 69-6) Overlay budget Positioning 6 Now 3 Calibration Optics Thermal Alignment Mechanical SPIE 69-3 / Slide 8 9

10 Outline Double patterning motivation CDU and overlay requirements for single exposure Entangled CDU and overlay requirements for double patterning Approaches to maximizing combined window Conclusions SPIE 69-3 / Slide 9 Double patterning CDU and Overlay Double patterning options, Spacer and LELE, can be either a positive or a negative process flow Critical for Lithography Target CD and CDU control for multiple populations Overlay is present in CDU in LELE CDU is present as overlay-like errors ( pitchwalking ) in spacer SPIE 69-3 / Slide

11 Entangled CD and Overlay Single Exposure A pattern is created at once from correlated edges CDU based on pattern control Design rules based on placement control of edges generated in separate process steps (layers) DPL Layer-to-layer edge placement are uncorrelated A pattern is created from two separated and uncorrelated edges CDU based on edge control Design rules based on placement control of edges generated in On same DPL-created layer and from separate process steps (layers) Layer-to-layer edge placement are uncorrelated Twice as many edge placement errors required for DR Paradigm shift for device designers: SPIE To 69-3 be adopted, / Slide DPL shall 6 produce February devices 8 with same electrical characteristics as SE (D. Kim, Hynix) Single exposure CDU + OL budget at 3nm for reference L L S S One CDU population for all lines etch,.6, % SE CDU: 3.nm metrology,., 5% nce,., 5% scanner,.8, % One Space-CDU population, correlated to Line CDU Entangled CDU-OL tolerance for DR decision CDU gate 6% CDU CH % mask,.6, % SE OL budget: 8nm litho process,.6, % metrology, apc,, mask,.8,, 6% % 7% nce,, 6% *OL 6% process OL, 5, 3% SMO, 5, 9% SPIE 69-3 / Slide

12 LELE: CDU for Isolated and Dense Lines Target CDlitho (dense) Real CDlitho is smaller than target CDlitho Errors caused by st litho Target CDlitho (iso) Dense Iso st etch introduces additional CD error Overlay error nd Litho: target CD different from CDlitho Overlay error causes spaces (in a positive process) to be different L S L S Final CD < % Target CD Final CD includes populations, two for lines, two for spaces SPIE 69-3 / Slide 3 LELE CDU and OL budget at 3nm L L S S 3.nm Predicted LELE CDU for tw o line pop. Line CDU populations =3.nm Two uncorrelated L, L line-cdu populations pp separated by differences in population mean, Line-cdu,.9, 3% Line-cdu,.9, 3% Space-CDU population affected by Overlay LELE Space CDU:.5nm Mean L - Mean L.6, % LELE Line () CDU:.9nm mask,.3, % process OL, 3., 8% SMO, 3., 8% litho process,.9, % mask,.9, % etch process, litho process,., %., % scanner CD,., % metrology,.3, 3% nce,.3, 3% scanner CD,., % nce,., 5% metrology,., 5% etch,.9, % Space CDU: 5% Line CDU: % SPIE 69-3 / Slide

13 LELE CDU-lines: measured to predicted CDU Predicted budget: Target CDU is set to 3.nm (%) for combined Line and Line CDU populations plus.6nm added difference between the means of the two populations Measured budget current results: 3nm LELE Line process on 7 at IMEC, after DoseMapper compensation close match to predicted budget breakdown Predicted LELE CDU for two line pop. Measured LELE CDU for two line pop. Line-cdu,.9, 3% Line-cdu,.9, 3% Line-cdu,., 5% Line-cdu,.3, 9% Mean L - Mean L.6, % 3.8nm predicted CDU Mean L - Mean L.3, 6% 3.nm measured CDU SPIE 69-3 / Slide 5 LELE CDU-space: measured to predicted CDU Predicted budget: Target CDU-space is set to.5nm (5%) and includes space CDU combined with overlay error Measured budget: 3nm LELE process on 7 at IMEC; after DoseMapper Measured overlay of ~ 3.3nm including SMO and process Scanner (imaging) and patterning Predicted (nm) Measured (nm).7. Overlay (SMO, process). 3.3 Reticle.3.5 Others.? Total.8nm 5.6 measured 3.8nm after DoseMapper corrections Overlay entangled in CDU SPIE 69-3 / Slide 6 3

14 Spacer Double Patterning: Isolated and Dense Lines (D) Target CDlitho (dense) Real CD is smaller than target CD Error caused by litho and etch trim patterning steps Dense Iso Target CDlitho (iso) Spacer deposition, CMP, and etch introduces further CD errors S S CD error in the sacrificial layer causes overlay-like error in L L final pattern ( pitch walking ) SPIE 69-3 / Slide 7 Self-Aligned Spacer CDU + OL budget at 3nm L L S S One CDU population for all lines (spacer generated) Two Space-CDU populations caused by sacrificial patterning errors reflected as OL errors (pitch-walking) Spacer Space-CDU: 3.nm Spacer Line-CDU:.nm non-litho process,, 7% S to S,.8, 6% Singleline,.9, % litho process,, % mask,, % scanner CD,, % nce,., 5% metrology,.3, % Litho has no contribution to spacer-line CDU metrology,.5, % Spacer patterning Space-CDU:.9nm nce,., scanner 5% CD,., % SPIE 69-3 / Slide 8 litho process, etch,.9,.9, % % mask,.9, % Litho has highest potential for compensation sacrificial (spacer-line CDU)

15 y y Outline Double patterning motivation CDU and overlay requirements for single exposure Entangled CDU and overlay requirements for double patterning Approaches to maximizing combined window Conclusions SPIE 69-3 / Slide 9 CD Performance: CDU spatial map on DPL patterns 8 6 Layer CD Maps (TPS fit of Data) Layer 3 - CD performance of DPL features Layer Off- target t CDU (nm,3σ) ) (nm) Matching of CDU Signatures 6 8 x x Correlation Max Deviation - Coefficient i (nm) Promising off-target performance with negligible mean-to-target difference Bubke, et. al.,, SPIE Photomask Similar CDU signature on both masks 7 SPIE 69-3 / Slide 3 5

16 Correlation Reticle vs Wafer Overlay Mask- to mask- Overlay (calculated from y mask and registration) y Corresponding layer- to layer- wafer Overlay 8 x x 7 6 x 8 x x 7 x Xcor cor. Y cor. Wafer dx [nm] Wafer dy [nm] slope = Reticle dx [nm] slope =..5.5 R =.79 Chisq = Reticle dy [nm] Overlay signature from Mask to Mask clearly transfers onto R.deKruif, et. al., EMLC Photomask 8 Layer- to Layer- wafer overlay signature SPIE 69-3 / Slide R =.89 Chisq =. Exposure-related CD control for wafer CDU Single exposure Minimize intra (incl. reticle)/interfield CDU LELE: bring together two CD populations p minimize difference between populations mean, intra/interfield Reticle and intrafield more critical Match CDU fingerprint maps of two populations, intra/interfield Distinguish patterns (st or nd) Spacer: extreme control/compensation of sacrificial patterning st pattern CDU (+Mean to target), litho and etch performance Minimize CD difference between st and nd patterning Exposure tolerance and control w/ enough dose margin at scanner only relieves MTT spec and allow tight wafer CDU!! (HanKu-Cho, SPIE Photomask, 9//7) SPIE 69-3 / Slide 3 6

17 Intrafield DoseMapper for LELE lines CDU control Goal: bring LELE interfield line CDU to calculated tolerances for variance and mean difference (for 3nm) Raw CDU map CDU variance/population: <nm; difference between means: <.8nm CD-/CD- after Line Line Raw CD-/CD- DoseMapper CDU map after DoseM Mapper See Jo Finders, et al, 69-7 Line (3s) Line (3s) Before DoseMapper.6nm 5.5nm After DoseMapper.nm.3nm SPIE 69-3 / Slide 33 3nm Spacer Final result (experimental data: CD-SEM) Line Mean=3.7 3σ=.nm Line Mean=3.7 3σ=.nm Space Mean= 3. 3σ=.nm Space Mean=3.8 3σ=.nm Expected performance after applying DoseMapper (calculated) Line Mean=3.7 3σ=.nm Line Mean=3.7 3σ=.nm Space Mean= 3.3 3σ=.3nm Space Mean= 3.3 3σ=.8nm SPIE 69-3 / Slide 3 7

18 Spacer needs tighter CD control Sacrificial pattern width variation caused by Litho + HD etch Experimentally measured sensitivity to changes in exposure dose for spacer S S S Sensitivity process to Dose in nm/mj S = S ε S = + ε ' ' S ' S ' S nm/mj - hardmask S S S-S - ε ε ε ε ε: positional change of one edge S = S ε S ' = S + ε ' Δ S = ε To control pitch-walking, CD control of sacrificial pattern SPIE 69-3 / Slide 35 should 6 February be ~x 8 tighter than SE -6 Pattern Normalized Sensitivity nm/mj Hardmask a S.8a S -a S-S -3.7a All DPL: measured CDU before/after corrections 3nm LELE and Spacer baseline processes on 7 at IMEC Encouraging results towards achieving 3nm CDU and OL control for DPL Litho compensation of inter and and intrafield processing variation is unavoidable to reach 3nm control tolerances Expected CDU (nm) measured LELE LELE +Litho compensations experimental measured Spacer Spacer+ Litho compensation modeled CDU Line.9.6..nm.nm CDU Line nm.nm Line-Line mean difference Overlay 3.5 to CDU space nm 3.3nm CDU space nm.9nm Space-Space mean difference <.8nm.nm SPIE 69-3 / Slide 36 Overlay entangled in CDU CDU entangled in local overlay 8

19 LELE: Overlay aware stitching split stitch Red: Necking without Overlay aware stitching Blue: contour with Overlay aware stitching Without overlay error at nominal condition 5 nm x overlay error at nominal condition 5 nm x and 5 nm y overlay error at nominal condition SPIE 69-3 / Slide 37 LELE: Overlay aware stitching split stitch Red: Necking without Overlay aware stitching Blue: contour with Overlay aware stitching Reduced necking with Overlay aware stitch Without overlay error at nominal condition Delta focus=5 nm Delta dose = 5% SPIE 69-3 / Slide 38 9

20 Outline Double patterning motivation CDU and overlay requirements for single exposure Entangled CDU and overlay requirements for double patterning Approaches to maximizing combined window Conclusions SPIE 69-3 / Slide 39 nm lines and spaces with 93nm LELE see Jo Finders et al, 69-7 nm dense lines!!! 3 nm dense lines SPIE 69-3 / Slide

21 Conclusions 93nm Extension to 3X and X nm with double patterning Extension of 93nm lithography to 3X and X nodes Realizing there is no new NA on the horizon, the focus shifts from a straight shrink to learning how to reduce the effective k through double patterning Spacer and LELE are the most likely approaches LELE is a lower cost, higher productivity opportunity Spacer can be used with any existing lithography tool but is more complex to layout and process Litho tool Both spacer and LELE require much tighter CDU than required from SE lithography; LELE must also achieve overlay on the order 3nm < nm CD and <.5nm OL controls become unrealistic and expensive. Tighter CDU and overlay budgets should be achieved through active compensation of wafer and field spatial distributions. SPIE 69-3 / Slide Acknowledgements Mircea Dusa, Jo Finders XT 9i Team - Andre Engelen, Eelco van Setten, Mark van de Kerkhof, Hans Bakker, Jos de Klerk TDC - Donis Flagello, ll Steve Hansen, Bob Socha, Alek Chen, Marla Downing Brion Stephen Hsu ASML DPT Team - Birgitt Hepp, Bert Vleeming, David Deckers, Dorothee Oorschot, Bart Rijpers, Henry Meegens, Paul de Haas, Christian Leewis, Martyn Coogans, Eddy van der Heijden, Henry Megens, John Quaedackers, Jeroen Meessen IMEC DPT Team - Mireille ill Maenhoudt, Shaunee Cheng, Patrick Jaenen, Tom Vandeweyer, Diziana Vangoidsenhoven Peter Jenkins SPIE 69-3 / Slide

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Mircea Dusa a, Richard Moerman b, Bhanwar Singh c, Paul Friedberg c, Ray Hoobler d, Terrence

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Tuck-Boon Chan, Kwangok Jeong and Andrew B. Kahng ECE and CSE Depts., University of California at

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Story. Cover. An Automated Method for Overlay Sample Plan Optimization Cover Story An Automated Method for Overlay Sample Plan Optimization Xuemei Chen, Moshe E. Preil, KLA-Tencor Corporation Mathilde Le Goff-Dussable, Mireille Maenhoudt, IMEC, Leuven, Belgium In this paper,

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Selective Processes: Challenges and Opportunities in Semiconductor Scaling Selective Processes: Challenges and Opportunities in Semiconductor Scaling June 4, 2018 Kandabara Tapily TEL Technology Center, America, LLC IITC 2018 Selective Deposition Workshop K. Tapily/ IITC 2018

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Critical Dimension Control and its Implications in IC Performance

Critical Dimension Control and its Implications in IC Performance 1 Critical Dimension Control and its Implications in IC Performance Costas J. Spanos FLCC, 10/23/06 2 Critical Dimension in Perspective (Leff in particular) Controls both leakage and saturation current

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Registration Error Terms: Grid: Wafer Terms and Field IFD

Registration Error Terms: Grid: Wafer Terms and Field IFD Registration Error Terms: Grid: Wafer Terms and Field IFD Grid Rotat ion Grid Skew System Skew Field Skew Field Rotation Grid Y Mag Grid X Mag Field IsoMag Field Y (optical) Mag Field X (scan) Mag Grid

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

There s Plenty of Room at the Bottom and at the Top

There s Plenty of Room at the Bottom and at the Top 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf There s Plenty of Room at the Bottom and at the Top Tsu Jae King Liu Department

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

Nanolithography and Design- Technology Co-optimization Beyond 22nm

Nanolithography and Design- Technology Co-optimization Beyond 22nm Nanolithography and Design- Technology Co-optimization Beyond 22nm David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at Austin http://www.cerc.utexas.edu/utda 1 50+ Years

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

The MEEF Shall Inherit the Earth

The MEEF Shall Inherit the Earth The MEEF Shall Inherit the Earth. 1 1 2 2.3 4 Will Conley, Cesar Garza, Mircea Dusa, Robert Socha, Joseph Bendik, Chris Mack 1Motorola Austin, TX 2ASML Mask Tools San Jose, CA 3Dynamic Intelligence Inc.

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

The study for image placement repeatability of EUV mask on the flat chuck

The study for image placement repeatability of EUV mask on the flat chuck The study for image placement repeatability of EUV mask on the flat chuck Shusuke Yoshitake, Hitoshi Sunaoshi, Shuichi Tamamushi, Soichiro Mitsui 1, Munehiro Ogasawara 1, Takeyuki Yamada 2, Tsutomu Shoki

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

UV2Litho Usable Vacuum Ultra Violet Lithography

UV2Litho Usable Vacuum Ultra Violet Lithography UV2Litho Usable Vacuum Ultra Violet Lithography A.M. Goethals, R. Jonckheere, F. Van Roey, Jan Hermans, A. Eliat, K. Ronse (IMEC) P. Wong (ASML) P. Zandbergen (Philips) M. Vasconi, E. Severgnini (STMicroelectronics

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler, J. van Schoot, J. de Klerk. This paper was first presented

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Semiconductor Memories

Semiconductor Memories !"#"$%&'()$*#+%$*,' -"+./"$0 1'!*0"#)'2*+03*.$"4* Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 !"#$%&'()*&'*+&, Memory Classification Memory Architectures

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION METROLOGY TABLE OF CONTENTS Scope... 1 Infrastructure Needs... 2 Difficult Challenges... 2 Technology Requirements... 4 Measurements for

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information