Impact of Pellicle on Overlay in Double Patterning Lithography

Size: px
Start display at page:

Download "Impact of Pellicle on Overlay in Double Patterning Lithography"

Transcription

1 Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami 3, 1 AMTC Advanced Mask Technology Center GmbH, Rahnitzer Allee, Dresden, Germany 2 KLA-Tencor Corporation, Kubacher Weg 4, Weilburg, Germany 3 KLA-Tencor Corporation, One Technology Drive, Milpitas, CA 95035, USA ABSTRACT Reticle Pattern Placement Error (PPE) has been identified as one of the key challenges of Double- Patterning Lithography (DPL) as the overlay of the circuit patterns between two masks is a critical achievement for successful implementation of the process. According to the 2009 ITRS roadmap, doublepatterning lithography is expected to extend 193nm immersion lithography to the 23nm node by 2016 and the corresponding PPE requirements is 1.9nm. PPE between the two masks comprising a DPL mask pair affects the resulting critical dimensions of the circuit pattern and the final device performance. In this paper, we study how the reticle PPE can be affected by the pellicle. The pellicle can induce a mechanical stress on the reticle such that the actual placement of the circuit patterns on wafer will be distorted after the lithography process. This distortion effect is known as Pellicle-Induced Distortion (PID). We conducted experiments by using different combinations of pellicle frames and frame adhesives on a DPL mask pair to study how reticle PPE can change with each combination. We used the KLA-Tencor LMS IPRO4 mask registration metrology tool to measure the PPE before and after the mount/un-mount of each experiment combination. The analysis is done using the KLA-Tencor DEVA software to quantify how the pellicle can affect the individual reticle PPE and also the relative errors between the DPL mask pair. Keywords: Pellicle-induced distortions, double-patterning lithography, pattern-placement errors. 1. INTRODUCTION As the industry approaches the low k1 limit in production using 193nm single exposure immersion lithography at the 32nm HP node, there is a need to extend the capabilities of 193nm immersion lithography for a more robust production process. According to the 2009 ITRS roadmap, DPL is proposed as the most viable solution for high-volume manufacturing at the 32nm node and 23nm node in 2013 and 2016 respectively. The key enabler for successful DPL implementation is successful overlay between the two masks needed to manufacture the DPL layer. This is especially critical in the device pattern area where any overlay error between the two mask layers effectively results in a critical dimension error that ultimately affects the device performance. Metrology, Inspection, and Process Control for Microlithography XXV, edited by Christopher J. Raymond, Proc. of SPIE Vol. 7971, 79712D 2011 SPIE CCC code: X/11/$18 doi: / Proc. of SPIE Vol D-1

2 Year of Production DRAM/ MPU/ ASIC (M1) ½ pitch (nm) (contacted) Flash ½ pitch (nm) (un-contacted poly) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) Overlay (3 sigma) (nm) 6,4 4,5 Generic Mask Requirements Mask magnification [B] 4 4 Mask nominal image size (nm) [C] Image placement (nm, multipoint) [F] Image placement (nm, multipoint) for double patterning of dependent layers [V] Table 1 Excerpts from 2009 ITRS roadmap with the required image placement error for double patterning lithography for the 32nm and 23nm technology nodes One of the sources of overlay error between DPL mask layers is distortion of the mask pattern itself on the DPL mask pair. The error on the mask pattern typically originates from two main areas, 1) Errors associated with the mask writer, and 2) Pellicle-induced distortion. The overall error as required in the 2009 ITRS roadmap is 2.7nm for the 32nm node and 1.9nm for the 23nm node. In this paper, we study the effects of pellicle-induced distortion and its effect on the relative overlay error within a DPL mask pair. An optical mask has a pellicle that is mounted onto a pellicle frame and then glued to the mask with special adhesive. The pellicle protects the mask patterns from foreign contaminations and particles that can interfere with the lithography process. a) Mass blank b) Mask pattern c) Pellicle mounting d) Pellicle stress Figure 1 - Simplified mask manufacturing process showing how stresses can be induced by the pellicle Due to the different material properties between the pellicle frame, adhesive and the mask, attaching the pellicle can induce a mechanical stress on the reticle that could result in out-of-plane reticle distortions. This distortion effectively changes the actual position of the device patterns relative to the mask fiducials used for scanner alignment. In a DPL mask pair, this effect is aggravated because the relative distortion between the two mask in the pair is critical for successful implementation of a DPL process. Thus, the pellicle-induced distortions for the individual masks have to be combined and studied together to get the net effect of the DPL mask pair on wafer overlay error. Pellicle induced distortion has been studied by several researchers in the past. At reticle level, 3 sigma errors of 2.14 nm in X and 1.72 nm in Y [1], 3.7 nm in X and 4.8 nm in Y after isotropic correction [2], 3 sigma errors of 3.2 nm in X and 2.4 nm in Y [3] have been reported. Proc. of SPIE Vol D-2

3 2. EXPERIMENT A DPL mask pair patterned with an array of 11x14 IPRO registration marks over a field size of approximately 24x30mm, is used to measure how the PPE is affected by three different combinations of pellicle frames and adhesive types (See Figure 2). This registration mark can be used for both mask registration metrology on the IPRO and wafer overlay metrology on KLA-Tencor s Archer tool. We measure the pattern registration error of each mask before and after mounting each pellicle frame/adhesive combination. This allows the effect of the pellicle induced distortion to be studied, as well as the analysis of any residual effects after removing the pellicle frame. Figure 2 - Reticle layout with array of 11x14 IPRO registration marks (left) and the design of each IPRO/Archer registration mark (right) Post-Pellicle Measurement Pellicle Mount Effect of pellicle on mask registration Pellicle Un-mount Pre-Pellicle Measurement Figure 3 Measurements done after the mounting and un-mounting of each pellicle. A total of three pellicle/frame combinations are studied Proc. of SPIE Vol D-3

4 Each pellicle frame/adhesive type combination was chosen based on mechanical specifications given by the pellicle suppliers. There were differences in frame height, adhesive height, Young s modulus, Poisson Ratio and density of the frames and adhesives. The IPRO4 mask registration measurement was done in four orientations of 0 o, 90 o, 180 o and 270 o in order to provide an averaged result that eliminates the bending effect of the reticle on the measurement chuck with the pellicle mounted. A bending model to remove the effect of the pellicle is currently being developed for the new LMS IPRO5 tool. We used the KLA-Tencor DEVA analysis software to study the PPE data. The DEVA software enables raw data analysis and application of different components of correction including first-order translation, rotation, scaling and high-order terms. All data are averaged over the four measured orientations. Comparison of measured registration data is done with respect to the reference design as well as between relative masks. We applied full first-order overlay correction and analyzed the residual error in terms of average and maximum 3-sigma. The pellicle effect on mask-to-mask registration is then studied. a. Raw reticle registration error b. Multi-point alignment (translation, rotation) and scaling corrected c. Full first-order corrected Figure 4 Examples of reticle registration error and applied corrections using DEVA analysis software Proc. of SPIE Vol D-4

5 3. RESULTS AND ANALYSIS The pellicle s effect on mask registration for each mask is shown in Figure 5, below, for each pellicle combination. We take the difference in absolute mask registration result before and after each pellicle is mounted and removed to obtain the pellicle effect. The pellicle s effect on individual mask registration is then combined to study the net effect for a DPL mask pair, Mask 1 and Mask 2, as shown in the rightmost column (Mask 2 Mask 1). Max 3 sigma error from pellicle mounting Mask 1 Mask 2 Mask 2 - Mask 1 X: 1.12nm X: 1.22nm X: 0.84nm Y: 1.12nm Y: 1.08nm Y: 1.30nm Pellicle-2 Pellicle-1 X: 0.74nm X: 0.80nm X: 0.57nm Y: 0.78nm Y: 0.82nm Y: 0.77nm Pellicle-3 4. SUMMARY X: 1.04nm X: 1.24nm X: 1.03nm Y: 0.75nm Y: 0.62nm Y: 0.83nm Figure 5 Mask registration maps for each mask/pellicle showing the pellicle effect on mask registration for each mask and the DPL mask pair Proc. of SPIE Vol D-5

6 We can conclude that different pellicle frame/adhesive combinations can indeed alter the mask registration results. The experimental data shows that up to 1.30nm of mask registration error can be contributed from the pellicle. This is almost 50% of the total pattern placement error budget of 2.7nm at the 32nm node, as shown in the ITRS requirements in Table 1. It is clear from the vector maps that the pellicle tends to induce a dominant signature along the edges of the field. This is reasonable given the position of the pellicle frame. 4. HYSTERESIS FROM PELLICLE MOUNTING AND DE-MOUNTING SHORT TERM EFFECT We proceed to verify that consecutive pellicle mounting and removal did not induce any permanent registration error (hysteresis). We compared the mask registration results before and after each pellicle mounting process for Pellicle-1 and Pellicle-2. The registration error is very small and within the measurement uncertainty of the IPRO4. The registration vector map also did not show any obvious error signature. This result confirms that the removal of the pellicle will relax the stresses in the mask and that these stresses are not permanent Max 3 sigma (nm) Mask 1 0 X Y X Y M ask 1 M ask 2 Pellicle-1 Pellicle-2 Mask 2 Figure 6 Residual mask registration error after Pellicle 1 and Pellicle 2 removal (left) and sample registration vector map for Mask 1 and Mask 2 showing error within measurement uncertainty after Pellicle 1 removal LONG TERM EFFECT We verified the long term effect of pellicle mounting and un-mounting by comparing the registration data observed when the DPL reticles were made (approximately two years earlier) with current data. The data were obtained on two LMS IPRO4 systems, one in the US where the reticle was made and one from Europe where the current measurements are taken. Fig 7 shows the registration performance summary of the reticle when measured the first time, two years ago, and the new registration data after pellicle mounting and demounting. Fig 8 shows the registration error distribution over the reticle. It is obvious that the mask registration did not change during the two years due to pellicle induced forces. Proc. of SPIE Vol D-6

7 New Old (2 years earlier) X (nm) Y(nm) X (nm) Y(nm) Mean sigma Max Min Figure 7 Comparison between the new and the old data indicating no long-term PPE effects due to pellicle mounting and de-mounting Figure 8 Comparison between the new and the old data indicating no long-term PPE effects in the vector plot due to pellicle mounting and de-mounting 5. SUMMARY The reticle pattern placement error (PPE) is expected to be a key challenge in Advanced Lithography, especially Double Patterning Lithography (DPL), and the overlay budget is expected to be very stringent. The pellicle induced Pattern Placement Error is studied using three different suppliers of the pellicle, frame and glue and the same DPL reticle set. After the removal of each pellicle frame, before re-attaching the new pellicle the image placement was studied and our data indicates that the pellicle attachment does not create any permanent displacement of patterns as shown in Fig 6. This indicates that there is no short term hysteresis effect on image placement due to pellicle attachment. Surprisingly our data also indicates that over a period of 2 years and after repeated mounting and de-mounting of pellicles, the image placement error did not change, indicating no long term pattern placement error changes from repeated pellicle mounting. On the other hand, a comparison of the data prior to pellicle attachment and post attachment do indicate shifts in the image placement error that will contribute to the wafer overlay error budget. The results are summarized in the table in Fig 9. If the pellicle mounting process is very stable and repeatable as our Proc. of SPIE Vol D-7

8 results indicate, the residual mask to mask overlay can be significantly smaller than the previously reported error.. X (nm) Y (nm) Supplier Supplier Supplier Figure 9: Mask to mask overlay error (3 sigma) with 3 different pellicle suppliers (on reticle level). Our work demonstrates that today the pellicle induced overlay error contribution can be well controlled, within less than 1.5nm at the reticle level. Besides a carefully controlled and repeatable pellicle mounting process, the pellicle suppliers may have made significant progress in the design and material of the pellicle frames as well as adhesives used for gluing the frame to the reticles. 6. ACKNOWLEDGEMENTS The authors would like to acknowledge Jochen Bender of the MIE Division of KLA-Tencor for overall review and recommendations for many changes for the improvement of the quality of the paper. The authors would like to thank the German BMBF for funding project CDuR32 to support R&D of the next-generation reticle pattern placement metrology system. 7. REFERENCES 1. Robert de Kruif, Tasja van Rhee, Eddy van der Heijden, Reduced Pellicle impact on Overlay using High Order Intrafield Grid Corrections, Proceedings of the SPIE, Vol. 7470, pp (2009) 2. I. Servin, C. Lapeyre, S. Barnola, B. Connolly, R. Ploss, K. Nakagawa, P. Buck, M. McMCallum, Mask contribution on CD & OVL errors budgets for Double Patterning Lithography, Proceedings of the SPIE, Vol. 7470, pp (2009) 3. C. Lapeyre, S. Barnola, I. Servin, S. Gaugiran, S. Tedesco, L. Pain, A.J. Hazelton, V. Salvetat, M. McCallum, Nikon Lithovision 2009 Proc. of SPIE Vol D-8

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

The study for image placement repeatability of EUV mask on the flat chuck

The study for image placement repeatability of EUV mask on the flat chuck The study for image placement repeatability of EUV mask on the flat chuck Shusuke Yoshitake, Hitoshi Sunaoshi, Shuichi Tamamushi, Soichiro Mitsui 1, Munehiro Ogasawara 1, Takeyuki Yamada 2, Tsutomu Shoki

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology,

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10 SEMICONDUCTORS PACKAGING MEMS LEDS DISPLAYS JUNE 2018 Material Innovations for Advancements in Fan-out Packaging P. 14 Void Control in Die Attach P. 20 Patterned Wafer Geometry Grouping for Improved Overlay

More information

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society Mat. Res. Soc. Symp. Proc. Vol. 738 2003 Materials Research Society G7.26.1 Determination of the Plastic Behavior of Low Thermal Expansion Glass at the Nanometer Scale Richard Tejeda, 1 Roxann Engelstad,

More information

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Single Pass Die to Database Tritone Reticle Inspection Capability

Single Pass Die to Database Tritone Reticle Inspection Capability Single Pass Die to Database Tritone Reticle Inspection Capability Bryan Reese, KLA-Tencor Corporation, Austin, Texas, USA Jan Heumann, AMTC, Dresden, Germany Norbert Schmidt, KLA-Tencor Corporation, Dresden,

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Story. Cover. An Automated Method for Overlay Sample Plan Optimization Cover Story An Automated Method for Overlay Sample Plan Optimization Xuemei Chen, Moshe E. Preil, KLA-Tencor Corporation Mathilde Le Goff-Dussable, Mireille Maenhoudt, IMEC, Leuven, Belgium In this paper,

More information

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04 A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04 1 Table of Contents Introduction Section 1 Reticle Management System (RMS): Introduction Section 2- Global Alignment System Global Scan

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION METROLOGY TABLE OF CONTENTS Scope... 1 Infrastructure Needs... 2 Difficult Challenges... 2 Technology Requirements... 4 Measurements for

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Opto-Mechanical I/F for ANSYS

Opto-Mechanical I/F for ANSYS Opto-Mechanical I/F for ANSYS Victor Genberg, Gregory Michels, Keith Doyle Sigmadyne, Inc. Abstract Thermal and structural output from ANSYS is not in a form useful for optical analysis software. Temperatures,

More information

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica Julie L. Ladison a, Joseph F. Ellison a, Douglas C. Allan b, David R. Fladd c, Andrew W. Fanning

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Figure measurement of a large optical flat with a Fizeau interferometer and stitching technique

Figure measurement of a large optical flat with a Fizeau interferometer and stitching technique Figure measurement of a large optical flat with a Fizeau interferometer and stitching technique Chunyu Zhao a, Robert A. Sprowl a, Michael Bray b, James H. Burge a a College of Optical Sciences, the University

More information

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Tuck-Boon Chan, Kwangok Jeong and Andrew B. Kahng ECE and CSE Depts., University of California at

More information

The Waferstepper Challenge: Innovation and Reliability despite Complexity

The Waferstepper Challenge: Innovation and Reliability despite Complexity : Innovation and Reliability despite Complexity by Gerrit Muller University of South-Eastern Norway-NISE e-mail: gaudisite@gmail.com www.gaudisite.nl Abstract The function of the waferstepper is explained

More information

Registration Error Terms: Grid: Wafer Terms and Field IFD

Registration Error Terms: Grid: Wafer Terms and Field IFD Registration Error Terms: Grid: Wafer Terms and Field IFD Grid Rotat ion Grid Skew System Skew Field Skew Field Rotation Grid Y Mag Grid X Mag Field IsoMag Field Y (optical) Mag Field X (scan) Mag Grid

More information

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials JawWuk Ju a, MinGyu Kim a, JuHan Lee a, Stuart Sherwin b, George Hoo c, DongSub Choi d, Dohwa Lee d, Sanghuck Jeon d, Kangsan

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography

AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography Christian Chovino, Stefan Helbig*, Peter Dress* Dupont Photomask Assignee, New Technologies, Advanced Mask Technology

More information

NSR-2205i14E (6" Reticle Type)

NSR-2205i14E (6 Reticle Type) Step-and-Repeat System NSR-2205i14E (6" Reticle Type) ACCEPTANCE TEST Nikon Precision Inc. January 9, 1998 JCW 1/98 22i14EAT01 1 NSR-2205i14E ACCEPTANCE TEST ITEMS (6" Reticle Type) No. Item Specification

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Figure testing of 300 mm Zerodur mirrors at cryogenic temperatures

Figure testing of 300 mm Zerodur mirrors at cryogenic temperatures Figure testing of 300 mm Zerodur mirrors at cryogenic temperatures J. W. Baer, W. P. Lotz Ball Aerospace & Technologies Corp. PO Box 1062 Boulder, CO 80306 Keywords: mirrors, figure testing, cryogenic,

More information

(12) United States Patent (10) Patent No.: US 6,730,444 B2. BOWes (45) Date of Patent: May 4, 2004

(12) United States Patent (10) Patent No.: US 6,730,444 B2. BOWes (45) Date of Patent: May 4, 2004 USOO673O44.4B2 (12) United States Patent (10) Patent No.: US 6,730,444 B2 BOWes (45) Date of Patent: May 4, 2004 (54) NEEDLE COMB RETICLE PATTERN FOR 6,068,954. A 5/2000 David... 430/22 CRITICAL DIMENSION

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Optical Interface for MSC.Nastran

Optical Interface for MSC.Nastran Optical Interface for MSC.Nastran Victor Genberg, Keith Doyle, Gregory Michels Sigmadyne, Inc., 803 West Ave, Rochester, NY 14611 genberg@sigmadyne.com Abstract Thermal and structural output from MSC.Nastran

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) Robert Fader Fraunhofer Institute for Integrated Systems and Device Technology (IISB) Germany Ulrike Schömbs SUSS

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

The MEEF Shall Inherit the Earth

The MEEF Shall Inherit the Earth The MEEF Shall Inherit the Earth. 1 1 2 2.3 4 Will Conley, Cesar Garza, Mircea Dusa, Robert Socha, Joseph Bendik, Chris Mack 1Motorola Austin, TX 2ASML Mask Tools San Jose, CA 3Dynamic Intelligence Inc.

More information

Validation of High Displacement Piezoelectric Actuator Finite Element Models

Validation of High Displacement Piezoelectric Actuator Finite Element Models Validation of High Displacement Piezoelectric Actuator Finite Element Models Barmac Taleghani * Army Research Laboratory Vehicle Technology Directorate NASA Langley Research Center Hampton, VA ABSTRACT

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Excerpt from the Proceedings of the COMSOL Conference 2010 Boston

Excerpt from the Proceedings of the COMSOL Conference 2010 Boston Excerpt from the Proceedings of the COMSOL Conference 21 Boston Uncertainty Analysis, Verification and Validation of a Stress Concentration in a Cantilever Beam S. Kargar *, D.M. Bardot. University of

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4 Issued: Wednesday, Mar. 5, 2014 PROBLEM SET #4 Due (at 9 a.m.): Tuesday Mar. 18, 2014, in the EE C247B HW box near 125 Cory. 1. Suppose you would like to fabricate the suspended cross beam structure below

More information

MOY/SCMI/36 SPECIFICATION OF ACCURACY FOR A PRECISION CLINOMETER

MOY/SCMI/36 SPECIFICATION OF ACCURACY FOR A PRECISION CLINOMETER Centre for Basic, Thermal and Length Metrology National Physical Laboratory MOY/SCMI/36 SPECIFICATION OF ACCURACY FOR A PRECISION CLINOMETER A Watts Precision Clinometer fitted with a circular glass scale

More information

Influence of mask surface processing on CD-SEM imaging. Phone: FAX:

Influence of mask surface processing on CD-SEM imaging. Phone: FAX: Influence of mask surface processing on CD-SEM imaging Marc Hauptmann 1, Lukas M. Eng 2, Jan Richter 1 1 Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany 2 TU Dresden,

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

GCA AS200 Job Preparation

GCA AS200 Job Preparation GCA AS200 Job Preparation The GCA AutoStep 200 wafer stepper is an easy to use instrument capable of very clean lithography with a typical resolution of 0.75 µm, and even 0.6 µm with some optimization.

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information