High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Size: px
Start display at page:

Download "High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH"

Transcription

1 High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH

2 Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA k1=0.3 single exposure / nm What happens when increasing the NA of an EUV tool? What are the optical solutions?

3 Structure of presentation 1 Status EUV NXE:3300 optical system 2 Characteristics of High NA EUV optics projection optics and reticle 3 High NA EUV optics the solution space

4 EUV Roadmap has great extendibility. High NA optics enables single shot patterning of 10nm hp and beyond

5 The EUV NA0.33 optics for the HVM scanner NXE:3300 are in series production. NXE:3300 illuminator NXE:3300 projection optics NA = 0.33

6 Excellent and consistent wavefront performance of 3300 optical systems each bar is one system

7 enables single exposure imaging down to 13nm hp of the ASML NXE:3300 scanner.

8 Structure of presentation 1 The EUV NXE:3300 scanners are in series production. Higher NA optics extend the roadmap beyond 10nm. 2 Characteristics of High NA EUV optics projection optics and reticle 3 High NA EUV optics the solution space

9 EUV optical system grating reticle collector Intermediate focus source illuminator plasma Projection optics -2 nd -1 st 0 th 1 st diffraction orders +2 nd Projection optics catches and combines these diffraction orders on to the wafer wafer

10 EUV optical system the characteristics of a high NA projection optics. reticle Intermediate focus illuminator Projection optics collector source plasma wafer

11 Higher NA increases the light cone above the wafer, and Medium NA M6 High-NA M6 NA NA M5 M5

12 increases the angles on M5, and Medium NA M6 High-NA M6 M5 M5

13 increases the angular spread on M5. Medium NA M6 High-NA M6 M5 M5

14 Multi-layer coatings set limits for angles & angular spread on EUV mirrors. γ θ Substrate > 50 Bi Layers Si Mo d Standard EUV coatings are not able to reflect the combination of large angles and large angular spreads on M5 needed for High-NA. Angles must be reduced for high NA EUV optics.

15 There is a solution: We drill a hole into the mirror. M6 M6 Angles and angular spread on M5 decrease M5 M5

16 Central obscuration leads to an application dependent contrast loss this part lacks its corresponding 1 st order 0 th diffraction order unobscured pupil obscured pupil red colored parts of 0 th order can interfere with corresponding 1 st order ±1 st diffraction orders contrast loss obscuration blocks parts of 1 st diffraction orders

17 ...which can be tolerated if kept below ~20% radius. Generic Lines & Spaces through pitch simulation unobscured pupil obscured pupil

18 EUV optical system reticle impact on high NA EUV imaging. reticle Intermediate focus illuminator Projection optics collector source plasma wafer

19 Reflective mask requires non-telecentric illumination, reticle Geometrical rule: = / reduction 3300: NA=0.33 Reduction = 4x reticle CRAO = Chief Ray Object (6 for 3300)

20 so that increasing the NA leads to intersecting light cones. Increase NA

21 There are two potential solutions: Increase CRAO NA X reduction CRAO 9 high 0.45/4 =

22 There are two potential solutions: Increase CRAO or increase reduction NA X reduction CRAO 9 NA X reduction CRAO 7 high 0.45/4 = small 0.45/6 = 0.075

23 Increasing the CRAO is limited Absorber shadowing is angular dependent! Strong shadowing Weak shadowing reticle Absorber thickness 55nm.

24 Angular dependent absorber shadowing at high CRAO reticle NA 0.33 CRAO 6 15nm L&S Standard ML NA 0.45 CRAO 9 11nm L&S Standard ML

25 leads to telecentricity errors, efficiency losses, and reticle NA 0.33 CRAO 6 15nm L&S Standard ML NA 0.45 CRAO 9 11nm L&S Standard ML ML only 0 th order imbalance: telecentricity error

26 and loss of image contrast. reticle NA 0.33 CRAO 6 15nm L&S Standard ML NA 0.45 CRAO 9 11nm L&S Standard ML CRAO 9 ML only 0 th order imbalance: telecentricity error 0 th /1 st order imbalance: contrast loss ML & absorber

27 A 6x optics recovers imaging and efficiency by reducing CRAO to ~7 Simulation of 14nm dense 0.45 *) *) application dependent!

28 High NA imaging must avoid high NA at reticle / large CRAO by increased reduction. NA X reduction CRAO 9 NA X reduction CRAO 7 high 0.45/4 = small 0.45/6 = 0.075

29 Structure of presentation 1 The EUV NXE:3300 scanners are in series production. Higher NA optics extend the roadmap beyond 10nm. 2 A high NA EUV projection optics will have a slightly obscured pupil and an reduction ratio larger than 4x. 3 High NA EUV optics the solution space

30 Increased reduction ratio eases mask requirements, BUT NA X reduction CRAO 9 NA 0.5 8X reduction CRAO 6.5 dim@reticle = red dim@wafer 2X less sensitive to CD control, linearity, placement. focus@reticle = red² focus@wafer 4X less sensitive to blank topography.

31 gives smaller imaging or requires a larger mask for full field. High NA EUV imaging 104 x 132 mm² 104 x 132 mm² 156 x 198 mm² 6 reticle 4x 6 reticle 8x 9 reticle 6x 26 x 33 mm² FF Full 13x x16.5 QF QF QF QF 13x x16.5 Quarter 26 x 33 mm² FF Full

32 There is a wide solution space for High NA EUVL. Mask size 9 FF 6x HF 8x 6 mirrors 8 mirrors 7 HF 6x 6 FF 4x HF 5x QF 8x QF 8x NA

33 The triangle of High NA. Resolution 6x FF 9 8x QF Full Field 4x FF 6 Mask Angular limitations result in a triangle: Only 2 out of 3 requirements can be met resist triangle (resolution, LER, photo speed)

34 Structure of presentation 1 The EUV NXE:3300 scanners are in series production. Higher NA optics extend the roadmap beyond 10nm. 2 A high NA EUV projection optics will have a slightly obscured pupil and an reduction ratio larger than 4x. 3 High NA EUV optics show a large solution space, but trade off between resolution, field & mask size.

35 In conclusion High NA EUV lithography is natural extension of the semiconductor roadmap beyond 10nm hp. Technical solutions for such high NA EUV optical systems are available. The choice is up to the semiconductor community.

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. May 2013 Volume 29, Issue 5 Invited Paper - AL13 Mask effects for high-na EUV: impact of

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Purpose: Explain the top 10 phenomena and concepts key to

Purpose: Explain the top 10 phenomena and concepts key to Basic rojection rinting (B) Modules urpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing B-1: Resolution and Depth of Focus (1.5X) B-2: Bragg condition and

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

* AIT-4: Aberrations. Copyright 2006, Regents of University of California Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-: LER and Chemically Amplified Resists

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Horizontal-Vertical (H-V) Bias, part 2

Horizontal-Vertical (H-V) Bias, part 2 Tutor52.doc: Version 11/4/05 T h e L i t h o g r a p h y E x p e r t (February 2006) Horizontal-Vertical (H-V) Bias, part 2 Chris A. Mack, Austin, Texas In the last edition of this column we looked at

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

The study for image placement repeatability of EUV mask on the flat chuck

The study for image placement repeatability of EUV mask on the flat chuck The study for image placement repeatability of EUV mask on the flat chuck Shusuke Yoshitake, Hitoshi Sunaoshi, Shuichi Tamamushi, Soichiro Mitsui 1, Munehiro Ogasawara 1, Takeyuki Yamada 2, Tsutomu Shoki

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Status of multilayer coatings for EUV Lithography

Status of multilayer coatings for EUV Lithography Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

CBSE PHYSICS QUESTION PAPER (2005)

CBSE PHYSICS QUESTION PAPER (2005) CBSE PHYSICS QUESTION PAPER (2005) (i) (ii) All questions are compulsory. There are 30 questions in total. Questions 1 to 8 carry one mark each, Questions 9 to 18 carry two marks each, Question 19 to 27

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

EUVL Optics lifetime and contamination. European Update

EUVL Optics lifetime and contamination. European Update EUVL Optics life and contamination European Update EUVL Symposium 27 TWG Optics Contamination and Life Sapporo Bas Wolschrijn, TNO 1/12 -.5-1 -2-1% productivity 2 4 8 1. EUV intensity [au].5. -.5-1. -2..

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light 1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light 1. Double-Slit Eperiment reading: Chapter 22 2. Single-Slit Diffraction reading: Chapter 22 3. Diffraction Grating reading: Chapter

More information

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Van den Heuvel b a Carl Zeiss SMS GmbH - Carl-Zeiss-Promenade 10, 07745 Jena, / Industriestraße

More information

The Diffraction Grating

The Diffraction Grating The Diffraction Grating If one extends the double slit to large number of slits very closely spaced, one gets what is called a diffraction grating. d sin θ. Maxima are still at d sin θ m = mλ, m = 0, 1,

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

PRISMATIC COVERS FOR BOOSTING THE EFFICIENCY OF HIGH-CONCENTRATION PV SYSTEMS

PRISMATIC COVERS FOR BOOSTING THE EFFICIENCY OF HIGH-CONCENTRATION PV SYSTEMS PRISMATIC COVERS FOR BOOSTING THE EFFICIENCY OF HIGH-CONCENTRATION PV SYSTEMS Andreea Boca, Kenneth M. Edmondson, and Richard R. King Spectrolab, Inc., 12500 Gladstone Ave., Sylmar, CA 91342 U.S.A. ABSTRACT

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Chapter 35. Interference

Chapter 35. Interference Chapter 35 Interference The concept of optical interference is critical to understanding many natural phenomena, ranging from color shifting in butterfly wings to intensity patterns formed by small apertures.

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Lin Zschiedrich, Sven Burger, Achim Schädle, Frank Schmidt Zuse Institute Berlin, JCMwave GmbH NUSOD,

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication Florian Letzkus *a, Joerg Butschke a, Corinna Koepernik a, Christian Holfeld b, Josef Mathuni c, Lutz Aschke d, Frank Sobel d a

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Practice Paper-3. Q. 2. An electron beam projected along + X-axis, in a magnetic field along the + Z-axis. What is

Practice Paper-3. Q. 2. An electron beam projected along + X-axis, in a magnetic field along the + Z-axis. What is Practice Paper-3 Q. 1. An electric dipole of dipole moment 20 10 6 cm is enclosed by a closed surface. What is the net flux coming out of the surface? Q. 2. An electron beam projected along + X-axis, in

More information

Lecture 4: Diffraction & Spectroscopy

Lecture 4: Diffraction & Spectroscopy Lecture 4: Diffraction & Spectroscopy d θ y L Spectra of atoms reveal the quantum nature of matter Take a plastic grating from the bin as you enter class. Lecture 4, p 1 Today s Topics Single-Slit Diffraction*

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Moonbows. Friday somebody asked if rainbows can be seen at night.

Moonbows. Friday somebody asked if rainbows can be seen at night. Moonbows Friday somebody asked if rainbows can be seen at night. Neil Alberding (SFU Physics) Physics 121: Optics, Electricity & Magnetism Spring 2010 1 / 25 Moonbows Friday somebody asked if rainbows

More information

M oore s law indicates that the number of transistors in integrated circuits should double about every two

M oore s law indicates that the number of transistors in integrated circuits should double about every two OPEN SUBJECT AREAS: NANOWIRES SURFACE PATTERNING LITHOGRAPHY Beyond EUV lithography: a comparative study of efficient photoresists performance Nassir Mojarad*, Jens Gobrecht & Yasin Ekinci Received 1 September

More information