NSR-2205i14E (6" Reticle Type)

Size: px
Start display at page:

Download "NSR-2205i14E (6" Reticle Type)"

Transcription

1 Step-and-Repeat System NSR-2205i14E (6" Reticle Type) ACCEPTANCE TEST Nikon Precision Inc. January 9, 1998 JCW 1/98 22i14EAT01

2 1 NSR-2205i14E ACCEPTANCE TEST ITEMS (6" Reticle Type) No. Item Specification Condition 1 Resolution 0.35µm or less High resolution, positive resist film of 1.0µm thickness 2 Focus Calibration Repeatability Within 80nm (3σ) 20 measurements 3 Lens Distortion (including magnification error) Within ± 30nm 37 points / chip 4 Magnification Control Within ± 15nm 3 measurements of Initial, Heat (150min.) and Cool (180min.) 5 Maximum Exposure Area Within 31.11mm dia. 22.0mm x 22.0mm to 17.96mm x 25.2mm (horz.) (vert.) 6 Reticle Blind Setting Accuracy to + 0.8mm (on reticle) 7 Exposure Power 1100 mw/cm 2 or more Measured after a new lamp is installed 8 Integrated Exposure Stability (including integrated exposure matching) Within ± 1.0% (75 mj/cm 2 or more) Within ± 0.5% (at 100mJ/cm 2 ) Input Exposure Time: 150, 200, 400, 800 msec. 1

3 2 No. Item Specification Condition 9 Illumination Uniformity Within ± 1.0% Inside exposure area 5 measurements 10 Reticle Rotation M + 3σ 15nm Center of RR target value 11 Alignment Accuracy (FIA, LSA and LIA) M + 3σ 40nm Center only M + 3σ 50nm Center and 4 corners Resist on image EGA 8 point sampling 12 Array Orthogonality Within ± 0.1 sec After compensation with software Average of 3 wafers 13 Stepping Precision 3σ 30nm Measurements from 2 wafers 14 Wafer Prealignment repeatability 3σ 15µm For each X, Y and θ axis 60 measurements / 1 wafer 15 Throughput FIA-EGA LSA-EGA LIA-EGA* 120 wph (150 mm) 87 wph (200 mm) 108 wph (150 mm) 80 wph (200 mm) 103 wph (150 mm) 77 wph (200 mm) 2nd print, EGA 8 points sampling 150 mm wafer size: 32 shots 200 mm wafer size: 60 shots Step pitch 22mm x 22mm Exposure time = sec 2

4 3 No. Item Specification Condition 16 Operational Test 1) Wafer transfer system 1) success rate: 100% 1) Consecutive printing of 100 wafers, 2nd print 2) Reticle transfer system 2) success rate: 100% 2) Excute a reticle change once for each slot 17 Leveling Accuracy Within ± 1.5 sec On lens image plane 10 measurements 18 Leveling Repeatability Within ± 1.0 sec 10 measurements Note: Item * marked is option. MES (Measurement & Evaluation System) is used for measurement. Nikon Recommended Conditions for MES: Resist type: High resolution, positive resist. Resist thickness: 1.20 µm thickness 3

5 4 NSR-2205i14E ACCEPTANCE TEST METHODS AND CONDITIONS (6" Reticle Type) Note: The Leveling system should be ON for test items listed in this acceptance test procedure. 0. Measurement Accuracy of NSR (MES usage condition) 0.1 The measurement accuracy of NSR shall be determined using Measure (LSA or FIA). 0.2 The photoresist specified by Nikon shall be used. The film thickness of the photoresist shall be 1.20µm. The followings are the guaranteed types of photoresist: i-line: THMR-iP1800 (Tokyo Ohka Kogyo) PFi-34 (Sumitomo Chemical) 0.3 Use of any other photoresist or conditions is subject to discussion between the customer and NPI. 1. RESOLUTION 1.0 Illumination Condition: Lens NA = 0.63, σ = Test Reticle: R2205HCD Ver or later Wafer: One bare silicon wafer 1.2 Exposure: Process Data: Program Data: Exposure Condition: Focus Pitch: 22QIK5 22QIK5 Best exposure time 0.2µm 1.3 Photoresist: High resolution, positive resist film of 1.0µm thickness 1.4 Development: Tokyo Ohka NMD-3 (2.38%) 60 sec. Auto-puddle 1.5 Measurement: Optical microscope or SEM Linewidth: 0.35µm line and space V/H Evaluation Points: 5 points shown at Fig

6 5 1.6 Judgment: Verify the 0.35µm line and space positive patterns are separated at all 5 points in the field for vertical and horizontal orientations at the best focus. UL (-10700, 10700) UR (10700, 10700) C (0,0) LL (-10700, ) LR (10700, ) Fig. 1.1 Resolution Evaluation Points 2. FOCUS CALIBRATION REPEATABILITY 2.1 Test Reticle: R2205HA Ver or later 2.2 Measurement: DIAGNOSIS, CALIBRATE command 2.3 Judgment: Take 20 measurements and calculate 3σn LENS DISTORTION (Including Magnification Error) 3.0 Illumination Condition: Lens NA = 0.63, σ = Test Reticle: R2205HDIS Ver Wafer: Three Nikon Standard DIS bare silicon wafers (for 6 wafer) One Nikon Standard DIS bare silicon wafer (for 8 wafer) 3.2 Exposure: Process Data: 22nMW Program Data: EXP Note: The letter n appearing in process data names denotes wafer size in inches. 5

7 6 3.3 Measurement: Registration Metrology or FIA-AMS (MEASURE command in the DIAGNOSIS group) SMCP File: 22nDIS_FIA_2 (for distortion measurement) Evaluation Points: 37 points per chip shown at Fig " wafer : 33 shots (11 shots X 3 wafers) 8" wafer : 21 shots (21 shots X 1 wafer) 3.4 Calculation: Use distortion calculation command in the Maintenance System V. 6" wafer : Average of 33 shots 8" wafer : Average of 21 shots Correct the Nikon Standard DIS-wafer error data by using DISBSE conversion software in the Maintenance System V. Convert the measured values in sign according to each individual quadrant. Correct the reticle error. Compensate the reticle rotation error by minimizing the rotation vectors of 37 points using the least squares method. Input the compensated value as a machine constant. The final lens distortion data is the measurement value at each field position minus the measurement value at the field center. The final distortion value at the field center will be zero. 3.5 Judgment: Evaluate the lens distortion in both X and Y directions. 6

8 7 Y X 8mm 14mm 20mm 22mm 12X24mm :DL :DR Fig. 3.1 Measurement Points for Lens Distortion 4. MAGNIFICATION CONTROL 4.0 Illumination Condition: Lens NA = 0.63, σ = Test Reticle: R2205HB Ver or later Wafer: Four bare silicon wafers 4.2 Exposure: Use LC Data Collection command in the Maintenance System V. Process Data: 22nUSR2B Program Data: LCM Sequence: Shown at Fig. 4.1 Shutter open time = 1000 (msec) Shutter close time = 3.95 x Power (msec) 4.3 Measurement: MEASURE command in the DIAGNOSIS group SMCP File: Evaluation Points: 22nLCM_LSA_2 Measure the X component of vernier scale A and the Y component of vernier scale B as shown in Fig

9 8 Measurement points and number of wafers are as follows: Measurement Wafer Size (mm) Points Per Wafer Calculate the mean value of each axis. Magnification errors are ( Χ and Υ )/ Judgment: The magnification control is acceptable if the magnification variations at the heat and cool times relative to the initial data are within specification. Initial Heat 150 min 180 min Cool Open Shutter closed Fig. 4.1 Measurement Sequence of Magnification Control Y B X A Fig. 4.2 Magnification Control Measurement Points 5. MAXIMUM EXPOSURE AREA 8

10 9 5.0 Illumination Condition: Lens NA = 0.63, σ = Test Reticle: R2205HB Ver or later Wafer: One bare silicon wafer 5.2 Exposure Process Data: Program Data: Exposure Condition: 22nUSR2B RB Best exposure time, best focus 5.3 Measurement: Optical microscope 5.4 Judgment: Verify that all portions on the outermost edges of the test reticle are printed. 6. RETICLE BLIND SETTING ACCURACY 6.0 Use the wafer of item 5 - Maximum Exposure Area. 6.1 Measurement: Optical microscope Evaluation Points: Read the blind setting value from the position scale as shown in Fig Judgment: All scales should be printed within specification Fig. 6.1 Reticle Blind Measurement Scale 7. EXPOSURE POWER 9

11 Illumination Condition: Lens NA = 0.63, σ = Power Meter: Nikon irradiance meter 7.2 Measurement: Integrated Exposure Stability measurement command in the Maintenance System V Measure the exposure power after a new lamp has been installed. 7.3 Judgment: The exposure power indicated on the Nikon irradiance meter should be equal to or greater than the specification. 8. INTEGRATED EXPOSURE STABILITY 8.0 Illumination Condition: Lens NA = 0.63, σ = Power Meter: Nikon irradiance meter 8.2 Measurement: Integrated Exposure Stability measurement command in the Maintenance System V Exposure Power: Points: Input Shutter time: 1 point with IDLE mode. Use the average value of 5 points within the shot (center and 4 corners of 10mm square). 150, 200, 400 and 800msec. 8.3 Judgment: Performance is acceptable when the difference between the actual power exposure energy and the setting energy (input shutter open time X 500 mw/cm 2) is within specification. Er - Ei X % (75 mj/cm 2 or more) Ei 0.5% (100 mj/cm 2 ) Ei = input shutter open time X 500 mw/cm 2 Er = actual exposure energy Note 1: 500 mw/cm 2 is used as a standard exposure power for interchangeability with conventional models. Note 2: Test condition - Actual exposure power should be 150 to 1100 mw/cm 2. The exposure energy should be 75 mj/cm 2 or more. 10

12 mj/cm2 400 Theoretical Value 200 ure energy 100 Error 50 msec Entered Shutter-open Time Fig. 8.1 Integrated Exposure Stability 9. ILLUMINATION UNIFORMITY 9.0 Illumination Condition: Lens NA = 0.63, σ = Measurement: LAMP command in the DIAGNOSIS group Data File: 22LAMP_2 Number of measurements: 5 Wait at least 30 minutes after the lighting the Hg lamp before taking measurement. 9.2 Judgment: All the measurement results should be within specification. 10. RETICLE ROTATION 10.1 Test Reticle: R2205HDIS Ver Wafer: One bare silicon wafer 11 11

13 Exposure Process Data: Program Data: 22nUSR2D RR1 to RR20 Expose wafers by using RR1 to RR20 without unloading the wafer. Perform reticle alignment for every RR data setting. Setting of SET system parameters in the SYSTEM group Reticle Driving Before Exposure: ON Reticle Driving During Shooting: ON Setting of EXECUTE exposure in the PROCESS group Reticle operation: Alignment only Wafer operation: Exposure only Carrier mode: Old 10.3 Measurement: MEASURE command in the DIAGNOSIS group SMCP File: Evaluation Points: 22nRR20_LSA_2 500 points (25 points/rr X 20 rows) Measure the Y components of the vernier scales shown in Fig and Fig Judgment: Evaluate reticle rotation as follows: Reticle Rotation = M +3σn-1 M = (Σ Y i / 20) / 2 (RE/2) (RR target value) [i=1 to 20] Y i : average of each RRi [i=1 to 20, n=25] Re : Reticle Fabrication Error RR target value: [DR(Y) DL(Y)]/2 DR(Y), DL(Y): Y vernier value shown as Fig

14 13 BL1 BL2 BL3 BL18 BL19 BL BL1 BL20 RR1 RR20 Fig Reticle Rotation RR1 RR2. RR19 RR20 Fig Reticle Rotation Within BL 11. ALIGNMENT ACCURACY 11.1 Test Reticle: R2205HA Ver or later Wafer: Ten bare silicon wafers / One sensor 11.2 Exposure 13

15 14 Process Program Data: 22nUSR2A.REG1 (for first print) 22nUSR2A.FIA (for FIA second print) 22nUSR2A.EGA (for LSA second print) 22nUSR2A.LIA (for LIA second print) Wafer Alignment: Evaluate the overlay of resist images. Use LSA-EGA wafer alignment (8 EGA sample points per wafer). Perform reticle alignment check and baseline check for every 2 wafers Measurement: MEASURE command in the DIAGNOSIS group SMCP File: 22nREG05_LSA_2 Evaluation Points: 1000 points (5 points/shot X 20 shots/wafer X 10 wafers) 11.4 Judgment: Evaluate alignment accuracy as follow : Alignment Accuracy = M + 3σn-1 n = 200 (center) n = 1000 (center and 4 corners) Evaluate the alignment accuracy in both X and Y directions separately. 12. ARRAY ORTHOGONALITY 12.1 Test Reticle: R2205HB Ver or later Wafer: Three bare silicon wafers 12.2 Exposure Process Data: Program Data: 22nUSR2B ORT1 (for first print) 12.3 Measurement Process Data: 22nUSR2B Program Data: ORTM (for second 0 measurement) ORTM90 (for second 90 measurement) Measure the wafer by using FIA-EGA measurement. 14

16 Judgment: Evaluate array orthogonality as follows: After all necessary array orthogonality corrections have been made through software, make final judgment based on the average of measurement values from 3 wafers. Array Orthogonality = (ORT 0 + ORT 90 )/ x 10-6 rad (= 0.1 sec) ORT 0 : measurement result of ORTM ORT 90 : measurement result of ORTM STEPPING PRECISION 13.1 Test Reticle: R2205HA Ver or later Wafer: Two bare silicon wafers 13.2 Exposure Process Data: Program Data: 22nUSR2A STEP 13.3 Measurement: MEASURE command in the DIAGNOSIS group SMCP File: Evaluation Points: 22nSTEP_LSA_2 Measure the X component of vernier scale A and the Y component of vernier scale B as shown in Fig Measurement points and number of wafers are as follows: Measurement Wafer Size (mm) Points Per Wafer Judgment: Calculate the 3σn-1 per each wafer. Evaluate the stepping precision in both X and Y directions separately. 15

17 WAFER PREALIGNMENT REPEATABILITY 14.1 Test Reticle: R2205HB Ver or later Wafer: One bare silicon wafer 14.2 Exposure Process Data: Program Data: 22nUSR2B ORT Measurement: Wafer Prealignment Repeatability measurement command in the Maintenance System V Process Data: 22nUSR2B Program Data: WLREP Number of Measurements: Judgment: Calculate 3σ n-1 for X, Y and θ axes, separately. 15. THROUGHPUT 15.1 Test Reticle: R2205HA Ver or later Wafer: 13 bare silicon wafers / One sensor 15.2 Exposure Process Program Data: 22nUSR2A.THRPT1 (for first print) 22nUSR2A.THRPTF (for FIA second print) 22nUSR2A.THRPTE (for LSA second print) 22nUSR2A.THRPTL (for LIA second print) Wafer Alignment: Exposure Time: Evaluate the overlay of resist images. Use EGA wafer alignment (8 EGA sample points per wafer). 82 msec., timer mode 15.3 Measurement: Measure the time interval from the completion of exposure of the first shot on the second wafer to the completion of exposure of the first shot on the 12th wafer Judgment: Calculate the throughput per hour by using the results of item

18 OPERATIONAL TEST 16.1 Wafer Transfer System Test Reticle: R2205HA Ver or later Wafer: 50 bare silicon wafers Exposure Process Data 22nUSR2A Program Data: THRPT1 (for first print) Program Data: ALRUN (for second print) Second Print: Evaluate the overlay of resist images. Use LSA-EGA wafer alignment (3 EGA sample points per wafer). Perform resist image 2nd print operation on 100 wafers consecutively(50 wafers X 2 times) Judgment: No errors which cannot be recovered from through software control are allowed Reticle Transfer System Test Reticle: Any reticle Execute the reticle change operation once for each slot Judgment: The transfer motion should be smooth and free from stops due to errors. 17. CHIP LEVELING ACCURACY 17.0 Illumination Condition: Lens NA = 0.63, σ = Image Plane Angle Test reticle: R2205HMF Ver. 6 series or later Wafer: 1 bare silicon wafer 17

19 Exposure Leveling Off. Process Program Data: 22MF096.INC09 Exposure Condition: Best exposure time Focus Pitch: 0.3µm Photoresist: Positive photoresist, 1.2µm thick Development: Tokyo Ohka NMD-3 (2.38%) 60 sec. Autopuddle Measurement: MEASURE command in the DIAGNOSIS group (Per MEASURE command operating conditions) SMCP file: 22INCF09H Linewidth: 0.35µm line and space V/H Evaluation Points: 9 points shown at Fig (18 points total, vertical and horizontal separately) Image Plane Angle: Take best focus measurements for 9 evaluation points (18 points total, vertical and horizontal separately) and store the measurement data in a file. Read the stored file by using a tool software and obtain an estimate of wafer plane tilt (X, Y two directions). This is the image plane angle (θx0, θ Y0 ). UL LE LL UC CE LC UR RI LR CE = UR = UC = UL = LE = LL = LC = LR = RI = 5" Reticle, 20mm ( 0, 0 ) ( 9700, 9700 ) ( 0, 9700 ) (-9700, 9700 ) (-9700, 0 ) (-9700, ) ( 0, ) ( 9700, ) ( 9700, 0 ) 6" Reticle, 22mm ( 0, 0 ) ( 10700, ) ( 0, ) (-10700, ) (-10700, 0 ) (-10700, ) ( 0, ) ( 10700, ) ( 10700, 0 ) Fig Image Plane Angle Evaluation Points 18

20 19 θy0 θx0 θ X0 θ Y0 (deg) Fig Image Plane Angle 17.2 Reference Leveled Wafer Plane Wafer: Use a bare silicon wafer (without resist) with flatness within 2µm Measurement: FLATNESS command in the DIAGNOSIS group Process Program Data: Measurement number: 22nLEVEL.LEVEL Map Size: 20 X 20 Step Pitch: 1000 X 1000 (µm) Wafer center leveling: ON Shot center leveling: OFF Ave. number: consecutive measurements without unloading the wafer. Store each measurement result in the file Leveled wafer plane angle: Read the stored files by using the tool software and determine the approximate image plane tilt (for both X and Y directions). This is the leveled wafer plane angle (θ XLi, θ YLi ) Reference leveled wafer plane angle: Average the 10 measurements of the leveled wafer plane angle. This is the reference leveled wafer plane angle (θ XL, θ YL ). 19

21 20 θ Leveled wafer plane angle : YLi (deg) θ XLi θ YLi θ XLi Reference leveled wafer θ XL = ( Σ θ XLi ) /10 plane angle : θ YL = ( Σ θ YLi ) /10 (deg) ( i = 1 to 10) Fig Measurement of the leveled wafer plane angle 17.3 Judgment: Evaluate the difference between the image plane angle (Item ) and the reference leveled wafer plane angle (Item ). Evaluate θ X, θ Y separately. θ X : -1.5 (θ XL - θ X0 ) +1.5 θ Y : -1.5 (θ YL - θ Y0 ) +1.5 (sec) 18. CHIP LEVELING REPEATABILITY 18.1 Use the reference leveled wafer plane angle and the leveled wafer plane angle in Item Judgment: The machine performance is acceptable if the maximum and minimum values of the leveled wafer plane angles θ XLi and θ YLi (i = 1 to 10) according to Item do not differ by more than ±1.0 seconds from the reference leveled wafer plane angles θ XL and θ YL determined in Item Evaluate θ X and θ Y separately. θ X: -1.0 (Min. θ XLi - θ XL ) +1.0 (Max. θ XLi - θ XL ) θ y: -1.0 (Min. θ YLi - θ YL ) +1.0 (Max. θ YLi - θ YL ) (i = 1 to 10) 20

22 21 NSR-2205i14E ACCEPTANCE TEST RESULTS (6" Reticle Type) Serial No.: Acceptance Test Completion Date: Inspected By: User's Machine ID: No. Item Specification Result 1 Resolution 0.35µm or less 2 Focus Calibration Repeatability Within 80nm (3σ) 3 Lens Distortion (including magnification error) Within ± 30nm 4 Magnification Control Within ± 15nm 5 Maximum Exposure Area Within 31.11mm dia. 22.0mm x 22.0mm to 17.96mm x 25.2mm (hor.) (vert.) 6 Reticle Blind Setting Accuracy to + 0.8mm (on reticle) 7 Exposure Power 1100 mw/cm 2 or more 8 Integrated Exposure Stability (including integrated exposure matching) Within ± 1.0% (75 mj/cm 2 or more) Within ± 0.5% (at 100mJ/cm 2 ) 21

23 22 No. Item Specification Result 9 Illumination Uniformity Within ± 1.0% 10 Reticle Rotation M + 3σ 15nm 11 Alignment Accuracy (LSA, FIA, and LIA) FIA-EGA M + 3σ 40nm Center only M + 3σ 50nm Center and 4 corners LSA-EGA M + 3σ 40nm Center only M + 3σ 50nm Center and 4 corners LIA-EGA* M + 3σ 40nm Center only M + 3σ 50nm Center and 4 corners 12 Array Orthogonality Within ± 0.1 sec 13 Stepping Precision 3σ 30nm 14 Wafer Prealignment repeatability 3σ 15µm 22

24 23 No. Item Specification Result 15 Throughput FIA-EGA 120 WPH (150mm) 87 WPH (200mm) LSA-EGA 108 WPH (150mm) 80 WPH (200mm) LIA-EGA 103 WPH (150mm) 77 WPH (200mm) 16 Operational Test 1) Wafer transfer system 1) success rate: 100% 2) Reticle transfer system 2) success rate: 100% 17 Leveling Accuracy Within ± 1.5 sec 18 Leveling Repeatability Within ± 1.0 sec Note: Item * marked is option. MES (Measurement & Evaluation System) is used for measurement. Nikon Recommended Conditions for MES: Resist type: High resolution, positive resist. Resist thickness: 1.20 µm thickness 23

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the GCA Stepper. All users are expected to have read and understood this document.

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

COMMODITY:SURFACE MOUNT CHIP LED LAMP DEVICE NUMBER:HL-HUB35A-TRB

COMMODITY:SURFACE MOUNT CHIP LED LAMP DEVICE NUMBER:HL-HUB35A-TRB COMMODITY:SURFACE MOUNT CHIP LED LAMP DEVICE NUMBER:HL-HUB35A-TRB SHEET DATE 1 2 3 4 5 6 7 CONTENTS 2002.11.19 1.0 1.0 1.0 1.0 1.0 1.0 1.0 Initial Released TOTAL PAGE 7 Page 1 of 8 ELECTRICAL AND OPTICAL

More information

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10 SEMICONDUCTORS PACKAGING MEMS LEDS DISPLAYS JUNE 2018 Material Innovations for Advancements in Fan-out Packaging P. 14 Void Control in Die Attach P. 20 Patterned Wafer Geometry Grouping for Improved Overlay

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

0. Table of contents. Author: Jaap Snijder

0. Table of contents. Author: Jaap Snijder Document nr. : JaaSni-20101209-01V01 Page nr. : 0 Author: Jaap Snijder 0. Table of contents 0. Table of contents... 0 1. Changes compared to previous versions... 1 2. Safety... 2 2.1 General... 2 2.2 Chemicals...

More information

some things to think about when doing evaporation liftoff of nanometer scale patterns 1/30/09

some things to think about when doing evaporation liftoff of nanometer scale patterns 1/30/09 some things to think about when doing evaporation liftoff of nanometer scale patterns 1/30/09 review fundamentals sample sample holder r dep = deposition rate (thickness/sec) r evap = evaporation rate

More information

GCA AS200 Job Preparation

GCA AS200 Job Preparation GCA AS200 Job Preparation The GCA AutoStep 200 wafer stepper is an easy to use instrument capable of very clean lithography with a typical resolution of 0.75 µm, and even 0.6 µm with some optimization.

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

The Waferstepper Challenge: Innovation and Reliability despite Complexity

The Waferstepper Challenge: Innovation and Reliability despite Complexity : Innovation and Reliability despite Complexity by Gerrit Muller University of South-Eastern Norway-NISE e-mail: gaudisite@gmail.com www.gaudisite.nl Abstract The function of the waferstepper is explained

More information

Spectrometer User s Guide

Spectrometer User s Guide Spectrometer User s Guide (Order Codes: V-SPEC, SPRT-VIS, SP-VIS, SP-UV-VIS, ESRT-VIS) The spectrometer is a portable light spectrophotometer, combining a spectrometer and a light source/cuvette holder.

More information

2-7x32. Instruction manual

2-7x32. Instruction manual In the event that you should require service for your Nikon RIFLESCOPE, please send it directly to: Nikon Scope Service 841 Apollo Street, Suite 100 El Segundo, CA. 90245-4721 1-800-Nikon SV. 2-7x32 Manufacturer:

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

TTC-1001 Thermal Test Chip Application Information

TTC-1001 Thermal Test Chip Application Information TTC-1001 Thermal Test Chip Application Information Thermal Engineeringa Associates 3287 Kifer Road Santa Clara, CA 95051 Tel: 650-961-5900 Email: info@thermenger.com www.thermengr.com Rev. 4 160125 TTC-1001

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

PLI Support Guide: Robotic Total Station Field Calibration

PLI Support Guide: Robotic Total Station Field Calibration PLI Support Guide: Robotic Total Station Field Calibration Summary: This manual will instruct you on how to properly calibrate your Trimble Robotic Total Station using Trimble Access. Your instrument must

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

ACOG Advanced Combat Optical Gunsight

ACOG Advanced Combat Optical Gunsight ACOG Advanced Combat Optical Gunsight INTRODUCTION TO THE ACOG SCOPE TA31RCO TA31F / M150 DESCRIPTION The ACOG scope is a lightweight, rugged, four power optical scope. Designed for the M4/M16A4, the

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Optional Connector Optional power compatibility XXX. IC i3 C2 C3 C5. M12* (i3: 5-pin male; IC: 4-pin male) 3.0 mw/cm2 245,000 Lux (white)

Optional Connector Optional power compatibility XXX. IC i3 C2 C3 C5. M12* (i3: 5-pin male; IC: 4-pin male) 3.0 mw/cm2 245,000 Lux (white) Precision LED Lighting for Vision and Imaging SL191 Structured Pattern Generator Designed for applications requiring structured illumination Ideal for locating edges, offsets, and assessing topography

More information

ACS after SM4: RELATIVE GAIN VALUES AMONG THE FOUR WFC AMPLIFIERS

ACS after SM4: RELATIVE GAIN VALUES AMONG THE FOUR WFC AMPLIFIERS Instrument Science Report ACS 2009-03 ACS after SM4: RELATIVE GAIN VALUES AMONG THE FOUR WFC AMPLIFIERS R. C. Bohlin, A. Maybhate, & J. Mack 2009 October 8 ABSTRACT For the default setting of gain=2, the

More information

Ocean Optics Red Tide UV-VIS Spectrometer (Order Code: SPRT-UV-VIS)

Ocean Optics Red Tide UV-VIS Spectrometer (Order Code: SPRT-UV-VIS) Ocean Optics Red Tide UV-VIS Spectrometer (Order Code: SPRT-UV-VIS) The UV-VIS spectrometer is a portable ultraviolet light and visible light spectrophotometer, combining a spectrometer and a light source/cuvette

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Instruction Manual. Made in Japan

Instruction Manual.   Made in Japan Instruction Manual www.ultimax6.eu Made in Japan Congratulation on the purchase of your new UltimaX scope! Introduction These instructions are provided to guide you in the correct use of the riflescope.

More information

SL191. Structured Pattern Generator SL191-WHIIC SL IC. Designed for applications requiring structured illumination

SL191. Structured Pattern Generator SL191-WHIIC SL IC. Designed for applications requiring structured illumination Precision LED Lighting for Vision and Imaging SL191 Structured Pattern Generator Designed for applications requiring structured illumination Ideal for locating edges, offsets, and assessing topography

More information

In Association With. Introduces. TPT Contractor

In Association With. Introduces. TPT Contractor In Association With Introduces TPT Contractor Software for Contractors with TENSIONMETERS Table of Contents Capabilities 4 Setup and Use 7 The Dashboard 12 Tower Setup Form 18 Field Calibration Verification

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

SPECIFICATIONS OF CITILIGHT 1 / Scope of Application These specifications apply to chip type LED lamp, CITILIGHT, model CL-822 -U1D-T.

SPECIFICATIONS OF CITILIGHT 1 / Scope of Application These specifications apply to chip type LED lamp, CITILIGHT, model CL-822 -U1D-T. SPECIFICATIONS OF 1 / 11 1. Scope of Application These specifications apply to chip type LED lamp,, model CL-822 -U1D-T. 2. Part code C L - 8 2 2 - U 1 D - T Series 822 : White LED for general lighting.

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

E152 Standard Revision: EUV-pod Reticle Carrier

E152 Standard Revision: EUV-pod Reticle Carrier E152 Standard Revision: EUV-pod Reticle Carrier February 27, 2011, San Jose EUV Reticle Handling TF Co-chairs/Key Contributors: Long He (Intel), David Halbmaier (Entegris), John Lystad (Entegris), John

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Technical Procedure for Glass Refractive Index Measurement System 3 (GRIM 3)

Technical Procedure for Glass Refractive Index Measurement System 3 (GRIM 3) Technical Procedure for Glass Refractive Index Measurement System 3 (GRIM 3) 1.0 Purpose - This technical procedure shall be followed for the operation of the GRIM 3. 2.0 Scope - This procedure applies

More information

Introduction CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING. Authors: Christopher Wacinski and Wiley Wilkinson

Introduction CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING. Authors: Christopher Wacinski and Wiley Wilkinson APPLICATION NOTE CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING Authors: Christopher Wacinski and Wiley Wilkinson Introduction CR-288 improves process efficiency for BEOL because tighter process

More information

THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS

THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS INTRODUCTION In recent years, researchers involved in many unrelated scientific disciplines have acquired an interest in accurately

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

--> Buy True-PDF --> Auto-delivered in 0~10 minutes. YY Translated English of Chinese Standard: YY

--> Buy True-PDF --> Auto-delivered in 0~10 minutes. YY Translated English of Chinese Standard: YY Translated English of Chinese Standard: YY0065-2016 www.chinesestandard.net Buy True-PDF Auto-delivery. Sales@ChineseStandard.net PHARMACEUTICAL INDUSTRY STANDARD OF THE PEOPLE S REPUBLIC OF CHINA YY ICS

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

FIELD GUIDE FOR HORUS SCOPES

FIELD GUIDE FOR HORUS SCOPES www.horusvision.com TM FIELD GUIDE FOR HORUS SCOPES Your Horus scope combines fine-quality optics, combat-proven hardware and the patented Horus reticle to deliver speed and accuracy for all shooters.

More information

Kalinka Optics Warehouse User Manual Kobra AK Side Mount Red Dot Sight Manual

Kalinka Optics Warehouse User Manual  Kobra AK Side Mount Red Dot Sight Manual Kalinka Optics Warehouse User Manual www.kalinkaoptics.com Kobra AK Side Mount Red Dot Sight Manual CONTENTS 1. Introduction... 4 2. Purpose... 4 3. Specifications... 4 4. Components and Equipment Provided...

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Sample Alignment (2D detector) Part

Sample Alignment (2D detector) Part Sample Alignment (2D detector) Part Contents Contents 1 How to set Part conditions...1 1.1 Setting conditions... 1 1.2 Customizing scan conditions and slit conditions... 6 2 Sample alignment sequence...13

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Sample Alignment Part

Sample Alignment Part Sample Alignment Part Contents Contents 1. How to set Part conditions...1 1.1 Setting conditions... 1 1.2 Customizing scan conditions and slit conditions... 6 2. Sample alignment sequence...13 2.1 Direct

More information

APPENDIX TLE TWO-LINE ELEMENT TRACKING

APPENDIX TLE TWO-LINE ELEMENT TRACKING APPENDIX TLE TWO-LINE ELEMENT TRACKING Last Revised: 2 August 2012 This appendix is provided as a supplement to the baseline RC4000 manual and the inclined orbit tracking option appendix (Appendix TRK).

More information

HERSCHEL/UVCI ALIGNMENT PLAN

HERSCHEL/UVCI ALIGNMENT PLAN DIPARTIMENTO DI ASTRONOMIA E SCIENZA DELLO SPAZIO HERSCHEL/UVCI ALIGNMENT PLAN M. Romoli (a), G. Corti (a), F. Landini (a) (a) Dipartimento di Astronomia e Scienza dello Spazio, Università di Firenze (Italy)

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

EM-30AX is very good space utilization

EM-30AX is very good space utilization EM helps to grasp material characteristics through providing precise images. EM makes it possible to analyze deep submicron or nanometer structure. Alteration of material caused by pressure, heat can be

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Full-color Subwavelength Printing with Gapplasmonic

Full-color Subwavelength Printing with Gapplasmonic Supporting information for Full-color Subwavelength Printing with Gapplasmonic Optical Antennas Masashi Miyata, Hideaki Hatada, and Junichi Takahara *,, Graduate School of Engineering, Osaka University,

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Jumbo Cross Beam Ionizer

Jumbo Cross Beam Ionizer Jumbo Cross Beam Ionizer Version 1.0 September 30, 2008 Page 1 of 19 Table of Contents Cross Beam Ionizer... 1 Table of Contents... 2 1.0 Packing List... 3 1.1 Packing List for Cross Beam Ionizer... 3

More information

22X Builder s Transit Level Model No Instruction Manual

22X Builder s Transit Level Model No Instruction Manual 2595H 7/29/09 10:15 AM Page 1 22X Builder s Transit Level Model No. 40-6910 Instruction Manual Congratulations on your choice of this 22X Builder s Transit Level. We suggest you read this instruction manual

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

1. Automotive : Dashboards, stop lamps, turn signals. 2. Backlighting : LCDs, Key pads advertising. 3. Status indicators : Comsumer & industrial

1. Automotive : Dashboards, stop lamps, turn signals. 2. Backlighting : LCDs, Key pads advertising. 3. Status indicators : Comsumer & industrial Features: 1. Emitted Color : Yellow Green Package Dimensions: 2. Lens Appearance : Water Clear. 3. Mono-color type. 4. 3.2x1.6x1.1mm(126) standard package. 5. Suitable for all SMT assembly methods. 6.

More information

TE 75R RESEARCH RUBBER FRICTION TEST MACHINE

TE 75R RESEARCH RUBBER FRICTION TEST MACHINE TE 75R RESEARCH RUBBER FRICTION TEST MACHINE Background: The Research Rubber Friction Test Machine offers the ability to investigate fully the frictional behaviour of rubbery materials both in dry and

More information

Lasentec Product Group

Lasentec Product Group Prepared by: Gregor Hsiao Approved on: ECN# 3506 Effective Date: 1/14/2008 Title: Window Reference Procedure for Version 6.0.0 and Higher Purpose: Lasentec D600 Probes and FBRM/Raman Probes using version

More information

405 Compact Orifice Series and 1595 Conditioning Orifice Plate Flow Test Data Book and Flow Handbook

405 Compact Orifice Series and 1595 Conditioning Orifice Plate Flow Test Data Book and Flow Handbook 405 Compact Orifice Series and 1595 Conditioning Orifice Plate Flow Test Book and Flow Handbook www.rosemount.com 405 Compact Orifice Series and 1595 Conditioning Orifice Plate Flow Test Book NOTICE Read

More information

ECE185 LIQUID CRYSTAL DISPLAYS

ECE185 LIQUID CRYSTAL DISPLAYS ECE185 LIQUID CRYSTAL DISPLAYS Objective: To study characteristics of liquid crystal modulators and to construct a simple liquid crystal modulator in lab and measure its characteristics. References: B.

More information

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0.

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0. Specification GR101 Pb Free Drawn SSC Approval Customer Approval [ Contents ] 1. Description 2. Absolute maximum ratings 3. Electro-Optical characteristics 4. Characteristic diagrams 5. Reliability result

More information

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler, J. van Schoot, J. de Klerk. This paper was first presented

More information

RoHS. Specification CUD8DF1A. Drawn Approval Approval. 서식 Rev: 00

RoHS. Specification CUD8DF1A. Drawn Approval Approval.  서식 Rev: 00 Specification RoHS CUD8DF1A SVC Customer Drawn Approval Approval 1 [ Contents ] 1. Description 2. Outline dimensions 3. Characteristics of CUD8DF1A 4. Characteristic diagrams 5. Binning & Labeling 6. Reel

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Specification SPW08F0D

Specification SPW08F0D Specification SPW08F0D Drawn SSC Approval Customer Approval SPW08F0D 1. Description 2. Absolute Maximum Ratings 3. Electro Optical Characteristics 4. Characteristic Diagram 5. Reliability 6. CIE Chromaticity

More information

NAOYUKI TAMURA Subaru Instrument Astronomer Subaru Telescope, NAOJ

NAOYUKI TAMURA Subaru Instrument Astronomer Subaru Telescope, NAOJ FMOS status tt report Science workshop 2011.02.2802 28-03.0202 NAOYUKI TAMURA Subaru Instrument Astronomer Subaru Telescope, NAOJ * Overview * Recent history & current status t * Schedule & future plan

More information

Ecopia - Semiconductor test instrument Catalogue. Hall effect, Probe station, and Accessories. Sel-Tek Ltd

Ecopia - Semiconductor test instrument Catalogue. Hall effect, Probe station, and Accessories. Sel-Tek Ltd Ecopia - Semiconductor test instrument Catalogue Hall effect, Probe station, and Accessories Model no. HMS-3000 + MS55T magnet. NO HMS-3000 Main body Description 1 Size 32 x 30 x 10.5cm( W x D x H ) 2

More information

RS-C Flexible Reticle Stocker

RS-C Flexible Reticle Stocker RS-C Flexible Reticle Stocker CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time Full Flexibility The RS-C is a fully

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Supporting Information Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Kamran Khajehpour,* a Tim Williams, b,c Laure Bourgeois b,d and Sam Adeloju a

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Upgrade of 5m-Bench System for Traceable Measurements of Tapes and Rules at SASO-NMCC Dimensional Laboratory

Upgrade of 5m-Bench System for Traceable Measurements of Tapes and Rules at SASO-NMCC Dimensional Laboratory Upgrade of 5m-Bench System for Traceable Measurements of Tapes and Rules at SASO-NMCC Dimensional Laboratory Bülent ÖZGÜR 1,*, Okhan GANİOĞLU 1, Nasser Al-Qahtani 2, Faisal Al-Qahtani 2 1 TÜBİTAK, National

More information

Technology Brief 9: Capacitive Sensors

Technology Brief 9: Capacitive Sensors 218 TEHNOLOGY BRIEF 9: APAITIVE SENSORS Technology Brief 9: apacitive Sensors To sense is to respond to a stimulus. (See Tech Brief 7 on resistive sensors.) A capacitor can function as a sensor if the

More information

SM98A Harsh Media Backside Absolute Pressure Series

SM98A Harsh Media Backside Absolute Pressure Series SM98A Harsh Media Backside Absolute Pressure Series SM98A Series FEATURES Pressure Range: 10 Bar (145 PSIA), 20 Bar (290 PSIA) On-Board temperature sensing diode Small die (1.2 mm x 1.33 mm) Backside entry

More information

Making Accurate Field Spectral Reflectance Measurements By Dr. Alexander F. H. Goetz, Co-founder ASD Inc., Boulder, Colorado, 80301, USA October 2012

Making Accurate Field Spectral Reflectance Measurements By Dr. Alexander F. H. Goetz, Co-founder ASD Inc., Boulder, Colorado, 80301, USA October 2012 Making Accurate Field Spectral Reflectance Measurements By Dr. Alexander F. H. Goetz, Co-founder ASD Inc., Boulder, Colorado, 80301, USA October 2012 Introduction Accurate field spectral reflectance measurements

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

WARNING: Ensure weapon is not loaded prior to mounting riflescope.

WARNING: Ensure weapon is not loaded prior to mounting riflescope. Xp8 The Atibal 1-8x24 Riflescope At Atibal, we continuously strive to bring you high-quality, high-performance, precision optics at a price you can afford. The 1-8x24 offers speed and versatility for AR

More information

Failure Analysis Report

Failure Analysis Report Failure Analysis Report TI Information - Selective Disclosure Device Analysis Services FA QEM-CCR-1710-00243 Customer: SERIAL SYSTEM (DSTR) Assy Site: Customer Tracking ID: Fab Site: Customer Part ID:

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

The Vortex. Dual Use: Shooting Tactical / Hunting US Patent 8,166,696 US Patent 7,937,879. Elevation. Adjustment Dial.

The Vortex. Dual Use: Shooting Tactical / Hunting US Patent 8,166,696 US Patent 7,937,879. Elevation. Adjustment Dial. 1-6 x 24 RIFLESCOPE The Vortex Viper PST 1 6x24 Riflescope At Vortex Optics, the need for high-performance, precision optics is the driving force behind all that we do. We carefully build Viper PST riflescopes

More information

One-Year Limited Warranty

One-Year Limited Warranty INDEX Product overview...3 Installing the batteries...4 Mounting the Rifle Scope...5 Operation...6 Windage and Elevation adjustments...7-8 Infrared Illumination...8 Focusing...9 Power display & battery

More information

Lasentec Product Group

Lasentec Product Group Document Number: 004-0043 Window Reference Procedure - M500/600 P Probes, version 6.0 and higher FBRM CI Software The Window Reference Procedure is the standard calibration procedure used to reference

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

DATA SHEET ES V-XX-XXX-XX CONTENTS

DATA SHEET ES V-XX-XXX-XX CONTENTS The Brightest LED DATA SHEET ES2835-023V-XX-XXX-XX CONTENTS 1. Introduction and features 2. Applications 3. Package dimensions 4. Absolute maximum ratings 7. Characteristic and curves 8. Reflow solder

More information

ph electrode Instruction Manual For use with the RAH-210 & RPH-250

ph electrode Instruction Manual For use with the RAH-210 & RPH-250 ph electrode Instruction Manual For use with the RAH-210 & RPH-250 The information in this manual was current at the time of printing. The most current versions of all Hydro Instruments manuals can be

More information