STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Size: px
Start display at page:

Download "STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES"

Transcription

1 Entegris Edit -SEMI Draft Document ( Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft was introduced by the North American Physical Interface and Carriers (NA PIC) Committee in October This draft is only to be used as a framework to further refine the requirements for EUV reticle SEMI standard specification. This draft has not been approved by the global SEMI PIC community. 1 Purpose 1.1 This specification provides the requirements for EUV reticle storage and transportation systems known as enclosures and carriers. Since EUV reticles will not have, traditional transmission pellicle films used for defect mitigation additional defect suppression or mitigation is required for EUV reticles during exposure and during transport or storage. 2 Scope 2.1 This specification is to identify the performance requirements of EUV reticle protective enclosures and reticle carriers to maintain very low defect contamination levels from most or all of the reticles product life cycle. Key requirements include the elimination or minimization of reticle contamination during reticle transfer into and out of exposure tools, cleaning or inspection tools, and other related mask manufacturing process tools. Therefore, importance on the contamination reduction in load ports and interface equipment impacts to reticles are specified in this document. 2.2 The specification areas that are defined will include requirements if outer reticle frames, enclosures or removable pellicles, and transport carriers. It is not a consensus that all three strategies will be implemented in production EUV equipment however all must maintain or eliminate defect foreign material generation or molecular contamination growth. 2.3 The requirements in this standard for EUV substrates, blanks, and patterned masks will only apply to those potential items in which handling, transport, and storage will impact the units. All other existing specifications for the EUV substrate, blank and reticle are to be followed such as SEMI P37 Specification for Extreme Ultraviolet Lithography Mask Substrates, SEMI P38 Specification for Absorbing Film Stacks and Multilayers for Extreme Ultraviolet Mask Blanks, and SEMI P0 Specification for Mounting Requirements and Alignment Reference Locations for Extreme Ultraviolet Mask. Therefore any requirement specified in this specification shall not negatively impact performances specified in P37, P38, and P 0 3 Limitations 3.1 The specification is not responsible for defining the mask design as associated with registration marks, information fields, or other elements. The duty of this specification is to standardize on a reticle carrier systems that provide maximum EUV reticle protection. Those requirements that define EUV reticle features or characteristics are only done so to maintain defectivity, transport utilization, and other handling needs. 3.2 NOTICE: This standard does not purport to address safety issues, if any, associated with its use. It is the responsibility of the users of this standard to establish appropriate safety and health practices and determine the applicability of regulatory or other limitations prior to use. Referenced Standards.1 SEMI Standards SEMI E1.9 Mechanical specification for cassette used to transport and store 300mm wafers SEMI E mm Standard Mechanical Interface SEMI P37 Specification for Extreme Ultraviolet Lithography Mask Substrates SEMI P38 Specification for Absorbing Film Stacks and Multilayers for Extreme Ultraviolet Mask Blanks SEMI P0 Specification for Mounting Requirements and Alignment Reference Locations for Extreme Ultraviolet Mask SEMI E57 Provisional Mechanical Specification for Kinematic Couplings Used to Align and Support 300mm Wafer Carriers SEMI E100 Specification for a reticle SMIF pod (RSP) to transport and store 6 inch or 230mm reticles SEMI E111 Specification for a 150mm reticle SMIF pod (RSP150) used to transport and stores a 6-inch reticle. SEMI T16 Specification SEMI E129 Guide to Assess and Control Electrostatic Charge in a Semiconductor Manufacturing Facility

2 5 Terminology 5.1 Acronyms ESD Electrostatic Discharge ESA Electrostatic Attraction EMI Electromagnetic Interference 5.1. EUV Extreme Ultraviolet 5.2 Definitions carrier A device for holding EUV reticle for various processing steps in semiconductor manufacturing. The carrier will be the unit that integrates with various process and exposure tools. This may include shipping. It shall include defect protection. The carrier does not directly contact the EUV reticle enclosure (or inner pod) Is a component or set of components that creates secondary or tertiary isolated environment within the carrier for protecting the EUV reticle designed to eliminate or reduce particle defects. The enclosure can include brackets, bases, covers, frames, etc, which are company specific terms frame (or base ) A device with an open aperture in which the EUV reticle is placed and rigidly secured around the reticle to accommodate reticle handling so that the EUV reticle is not directly handled exclusion zone A restricted area on the reticle or carrier system module reserved for access by the transport module end effectors during reticle handling exclusion volume The interior of the enclosure or carrier that must not intrude into space that is to be occupied by elements internal to the unit such as the frame or enclosure and must not intrude into the end effectors exclusion volumes or other elements when units or ports are opened. 6 Ordering Information 6.1 Checklist text. Item 7 Requirements 7.1 EUV Reticle Exclusion Zone An exclusion zone is defined generally around the reticle sides and a 5mm edge exclusion perimeter area on both the patterned frontside and backside of the reticle. Figure 1 defines the exact areas and locations of this Reticle Exclusion Area. This area is reserved for any type of reticle handling, transfer either by automation or by manual operations using correct protocol and accepted reticle picks (however manual handling will only be done in limited evasive circumstances. 7.2 EUV Reticle Conducting and Grounding Requirements The EUV reticle handling and protection systems must be properly grounded electrically from frontside to backside so that ESD, ESA, and EMI events do not adversely impact or effect the mask patterned features. SEMI 129 Guide to Assess and Control Electrostatic Charge in a Semiconductor Facility specifies photomask ESD levels based on IC feature technology node. Further analysis is required however, as a guideline EUV carriers, enclosures, and frames must support ESD protection to levels specified in Table 1. Table 1 Electrostatic Field Levels - Limit Induced ESD Damage on Photomasks (SEMI E129) Year Node nm (5nm) nm nm (22 nm) Electrostatic Field Limits Induced ESD Damage, V/cm Electrostatic Field Limits Particle Attraction, V/cm 55 (50) (35) (25) Reticle Frame Dimensions The reticle frame must not be larger than the tolerances listed so that proper clearances can be maintained within the carrier). X = mm Y = mm Z= 1.35mm or less: 7. Reticle Enclosure Dimensions The outer dimensions of the reticle enclosure must be confined to specific height, width, and length tolerances so that proper integration within the carrier can be maintained. The overall enclosure dimensions are: X = mm Y= mm Z =23mm or less

3 7.5 Carrier End Effector Exclusion Volume Volumes in an open carrier, which must be free for the end effector to enter and handle the frame/enclosure. (dimensioned drawing required) 7.6 Frame/Enclosure End Effector Exclusion Volume- Volumes in an open frame/enclosure, which must be free for the end effector to enter and handle the reticle. (dimensioned drawing required) 7.7 Reticle Position Within Enclosure The nominal reticle within the enclosure is defined by x1, y1 and z in Table 2 and shown in Figures and 5.. The reticle centroid offset tolerance shall be located with the following position in relationship to the enclosure centroid: Centroid Location: mm 7.8 Frame/Enclosure Position Within Carrier The nominal frame/enclosure within the carrier is defined by x2, x3, x, x5, y2, y3, y, y5, z3, z5, z6, z7 in Table 2 and shown in Figures 3, and 5. The frame/enclosure centroid offset tolerance shall be located with the following position in relationship to the carrier centroid: Centroid Location: mm Enclosure Mass The enclosure shall not be more than 1,500 grams or less than 100 grams (without the reticle). This is to assure that reliable transfer handling will be maintained using robots and suitable end effectors. Operating with enclosure masses larger than this value may impact the positioning and reliability of the robotic handling. 7.9 Enclosure Contacts Points The enclosure will have specified points or regions in which automation equipment can touch or interface with Carrier Outer Dimensions The carrier must not be larger than the tolerances listed so that proper clearances will be maintained with carrier interface equipment such as indexers, load-ports, etc. Refer to E100 for x and y values. Z value is increased to 100.0mm Width: X= 283mm Length:Y=29mm Height:Z=100mm (dimensioned drawings required) 7.11 Carrier Exclusion Volume Within the carrier, there is a restricted or exclusion volume in which no carrier surface or element may penetrate. This space or volume is restricted to allow proper distances or standoffs for the enclosure or inner pod. Width: Length: Height: (dimensioned drawings probably required) 7.12 Carrier Mass The carrier shall not be more than 2000 grams or less than 800 grams,(without enclosure). This is to assure that reliable transfer handling will be maintained using robots and suitable end effectors. Operating with carrier masses larger than this value may impact the positioning and reliability of the robotic handling Reticle orientation within the Enclosure and carrier The reticle is to be positioned within the enclosure and carrier in an agreed to orientation. This will facilitate correct handling and exposure scanning directions while maintaining the optimum defect or contamination protection. The following reticle orientation must be maintained within the frame / bracket, enclosure / inner pod, and carrier. Reticle exposure surface: Face down orientation Backside reticle bevel orientation: Non-beveled corner must be orientated at the southwest trailing edge (i.e. the leading edge length field scan begins at the leading edge of the reticle indicated with two backside bevels oriented northwest and northeast respectively). 7.1 Carrier Interface Dimensions: (TBD) but will include datum reference planes, 7.15 Carrier Loadport Interface: Carrier door must have the capability of registering on the kinematic pins as defined in SEMI E Frame/Enclosure and Carrier Interface Frame/Enclosure must have the capability of registration on the kinematic pins as defined by x1, x15, y1; y15, y16 and y16 in Table 21 and shown in Figure Carrier Loadport Interface opening and closing forces: (TBD closing forces may increase) 7.18 Loadport and reticle particle generation per pass Due to the requirement for very low airborne particulate or contamination for EUV reticles it is required that particle defects are minimized. No more than (TBD) can be contributed to the EUV reticle per reticle pass or transfer 7.19 Reticle alignment with the Enclosure and Frame The reticle precise positioned within the Enclosure or Frame needs to be measured to facilitate correct placement on the EUV reticle chuck. This alignment is determined by measuring the reticle registration marks with optical sensors.

4 (more details required in this area on what surfaces need to be opaque, optically rough, transparent, antireflective and contrast back-ground) 7.20 Frame/Enclosure alignment window - Locations within the Frame and Enclosure that allow registration marks on the reticle to be sensed by the reticle handling equipment. (light wave length transmission rate through the window must be specified) 7.21 Information Pads The Carrier door must have carrier info pads as defined by SEMI E Carrier Sensing Pads The Carrier door must have carrier-sensing pads as defined in SEMI E ID Exclusion volume Volume on the Carrier, Frame and Enclosure, which must remain free from intrusions to accommodate ID tag, RFID transponders or tag mounting. (Dimensioned drawing required) 7.2 Conveyor Rails -? 7.25 Frame/Enclosure Lift Clearance Volume in an open carrier which must be free above the enclosure to allow the end effector to lift and handle the enclosure 7.26 Reticle Lift Clearance Volume in an open frame and enclosure, which must be free above the frame, and enclosure to allow the end effector to lift and handle the reticle Carrier Automation Handling Flanges The carrier must have automation flanges as defined by SEMI E Frame/Enclosure Automation Handling Features The frame/enclosure must have automation features TBD. (dimensioned drawing required) 7.29 Packaging and Marking The EUV carrier must be able to be packed in a class 1 environment as defined ISO 16-1 in SEMI P Outgassing The EUV reticle and any associated frame, enclosure, or carrier material surfaces may not outgas more than the following critical limits of species. Water: 5. E- mbar l/s CxHy: 5 E-6 mbar l/s Should be applied. These are performance specifications and shown as a guideline. Final values should be determined depending on the design of exposure tool. All other inert gases 1.2 E-9 mbar l/s In terms of frame, more stringent conditions such as Water: 1. E-5 mbar l/s CxHy: 1 E-7 mbar l/s

5 reticle North backside surface Backside corner 5 5 chamfer Backside corner chamfer reticle West backside surface 6 W N reticle frontside surface E mm mm reticle East backside surface S mm mm mm 0.10 mm 6.35 mm mm Backside corner chamfer 5 5 reticle South backside surface Contact / frame or enclosure Handling areas for end effectors Mechanical Stage Contacts Corner segments are 5.0mm x 5.0 mm Center Line segments are 6.0mm x 5.0 mm All other segments are.0mm x 5.0 mm Figure 1

6 Symbol Used Value Specified Datum Measured From Feature Measured To HRP (all values in mm) horizontal datum plane BRP bilateral datum plane nominal reticle centerline FRP facial datum plane nominal reticle centerline x bilateral datum plane edge of reticle x bilateral datum plane edge of base plate x bilateral datum plane edge of enclosure cover x bilateral datum plane base plate flange x bilateral datum plane enclosure cover flange x bilateral datum plane edge of alignment window x bilateral datum plane edge of alignment window x bilateral datum plane edge of alignment window x bilateral datum plane edge of alignment window x bilateral datum plane edge of alignment window x bilateral datum plane edge of alignment window x bilateral datum plane center line of carrier secondary KC pin x bilateral datum plane center line of carrier primary KC pin center line of Frame/Enclosure secondary KC x1 TBD bilateral datum plane pin x15 TBD bilateral datum plane center line of Frame/Enclosure primary KC pin y facial datum plane edge of reticle y facial datum plane edge of base plate y facial datum plane edge of enclosure cover y facial datum plane enclosure cover flange y facial datum plane edge of base plate flange y facial datum plane edge of alignment window y facial datum plane edge of alignment window y facial datum plane edge of alignment window y facial datum plane edge of alignment window y facial datum plane center line of carrier secondary KC pin y facial datum plane center line of carrier primary KC pin y facial datum plane center line of carrier secondary KC pin y facial datum plane center line of carrier primary KC pin y1 TBD facial datum plane center line of Frame/Enclosure secondary KC pin y15 TBD facial datum plane center line of Frame/Enclosure primary KC pin center line of Frame/Enclosure secondary KC y16 TBD facial datum plane pin y17 TBD facial datum plane center line of Frame/Enclosure primary KC pin z horizontal datum plane bottom of carrier z (reference) horizontal datum plane top of carrier door z horizontal datum plane bottom of base plate robotic flange z 33.3 horizontal datum plane quality surface of reticle z5.18 horizontal datum plane bottom of enclosure cover robotic flange z horizontal datum plane top of enclosure cover d1 TABLE 2

7 Figure 3

8 Figure Figure 5

9 Figure 6

E152 Standard Revision: EUV-pod Reticle Carrier

E152 Standard Revision: EUV-pod Reticle Carrier E152 Standard Revision: EUV-pod Reticle Carrier February 27, 2011, San Jose EUV Reticle Handling TF Co-chairs/Key Contributors: Long He (Intel), David Halbmaier (Entegris), John Lystad (Entegris), John

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

EUV Mask Carrier & Load Port Standards Workshop Overview

EUV Mask Carrier & Load Port Standards Workshop Overview EUV Mask Carrier & Load Port Standards Workshop Barcelona Spain Oct. 19 th 2006 EUV Mask Carrier & Load Port Standards Workshop Overview Phil Seidel SEMATECH (Co-Chair) Long He SEMATECH / Intel (Co-Chair)

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

RS-C Flexible Reticle Stocker

RS-C Flexible Reticle Stocker RS-C Flexible Reticle Stocker CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time Full Flexibility The RS-C is a fully

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

ITG Japan. September 15 th, Makoto Yamamoto, Muratec ITG Japan. Interoperability Test Group Japan 1

ITG Japan. September 15 th, Makoto Yamamoto, Muratec ITG Japan. Interoperability Test Group Japan 1 ITG Japan September 15 th, 2010 Makoto Yamamoto, Muratec ITG Japan Interoperability Test Group Japan 1 Interoperability Test Group for 450mm Industry Standard development Japan Name: Interoperability Test

More information

PREFERRED RELIABILITY PRACTICES. Practice:

PREFERRED RELIABILITY PRACTICES. Practice: PREFERRED RELIABILITY PRACTICES Practice No. PD-ED-1239 Page 1 of 6 October 1995 SPACECRAFT THERMAL CONTROL COATINGS DESIGN AND APPLICATION Practice: Select and apply thermal coatings for control of spacecraft

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04 A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04 1 Table of Contents Introduction Section 1 Reticle Management System (RMS): Introduction Section 2- Global Alignment System Global Scan

More information

Mask/Reticle Cleaning Systems

Mask/Reticle Cleaning Systems Mask/Reticle Cleaning Systems 3019 Alvin Devane Blvd., Suite 300, Austin, Texas 78741 Ph. 512-385-4552; Fax 512-385-4900 main@nanomaster.com; www.nanomaster.com The LSC-5000 is a state of the art auto

More information

SMP625 Product Specifications

SMP625 Product Specifications ADVANCED MATERIALS HANDLING SPECIFICATION SHEET SMP625 Product Specifications SPECIFICATIONS Reticle size 152 mm 152 mm 6.35 mm (6" 6" 0.250") Reticle capacity 1 Mass Approximately 500 g Color Black Materials

More information

ic-wg BLCC WGC PACKAGE SPECIFICATION

ic-wg BLCC WGC PACKAGE SPECIFICATION Rev B1, Page 1/5 ORDERING INFORMATION Type Package Options Order Designation ic-wg BLCC WGC none ic-wg BLCC WGC ic-wg BLCC WGC reticle ic-wg BLCC WGC-WG1R WG1R Code Disc 13bit-Gray +2048 PPR A/B, d 44mm

More information

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the GCA Stepper. All users are expected to have read and understood this document.

More information

E GUIDE TO ASSESS AND CONTROL ELECTROSTATIC DISCHARGE (ESD) AND ELECTROSTATIC ATTRACTION (ESA) FOR EQUIPMENT

E GUIDE TO ASSESS AND CONTROL ELECTROSTATIC DISCHARGE (ESD) AND ELECTROSTATIC ATTRACTION (ESA) FOR EQUIPMENT Background Statement for SEMI Draft Document 4418 Revision to SEMI E78-0706 - GUIDE TO ASSESS AND CONTROL ELECTROSTATIC DISCHARGE (ESD) AND ELECTROSTATIC ATTRACTION (ESA) FOR EQUIPMENT Note: This background

More information

TEA10402V15A0 Engineering Specification

TEA10402V15A0 Engineering Specification TEA10402V15A0 Engineering 1. Scope This specification is applied to electrostatic discharge (ESD) protection. It is designed to protect the high-speed data lines against ESD transients. It has very low

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

AM 500A 500 WATT AM 1A 1 KILOWATT AM BROADCAST TRANSMITTERS. October, 1999 IM No

AM 500A 500 WATT AM 1A 1 KILOWATT AM BROADCAST TRANSMITTERS. October, 1999 IM No AM 500A 500 WATT AM 1A 1 KILOWATT AM BROADCAST TRANSMITTERS October, 1999 IM No. 597 1112 EQUIPMENT LOST OR DAMAGED IN TRANSIT. When delivering the equipment to you, the truck driver or carrier s agent

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

RoHS. Specification CUD8DF1A. Drawn Approval Approval. 서식 Rev: 00

RoHS. Specification CUD8DF1A. Drawn Approval Approval.  서식 Rev: 00 Specification RoHS CUD8DF1A SVC Customer Drawn Approval Approval 1 [ Contents ] 1. Description 2. Outline dimensions 3. Characteristics of CUD8DF1A 4. Characteristic diagrams 5. Binning & Labeling 6. Reel

More information

S-S35B-F

S-S35B-F Specification S-S35B-F2-285-01-2-110 RoHS SETi Customer Drawn Approval Approval 1. Description Table of Contents: 2. Mechanical Dimensions 3. Characteristics of S-S35B-F2-285-01-2 4. Characteristic Diagrams

More information

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Nanoparticle Contamination Control and Metrology for the EUVL Systems Nanoparticle Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Mechanical Engineering Department University of Minnesota Jing Wang Assistant

More information

NEW STANDARD: GUIDE FOR THE HANDLING OF RETICLES AND OTHER EXTREMELY ELECTROSTATIC SENSITIVE (EES) ITEMS WITHIN SPECIALLY DESIGNATED AREAS NOTICE

NEW STANDARD: GUIDE FOR THE HANDLING OF RETICLES AND OTHER EXTREMELY ELECTROSTATIC SENSITIVE (EES) ITEMS WITHIN SPECIALLY DESIGNATED AREAS NOTICE Background Statement for SEMI Draft Document 4783A NEW STANDARD: GUIDE FOR THE HANDLING OF RETICLES AND OTHER EXTREMELY ELECTROSTATIC SENSITIVE (EES) ITEMS WITHIN SPECIALLY DESIGNATED AREAS NOTICE: This

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering

More information

SPECIFICATION FOR APPROVAL INDEX

SPECIFICATION FOR APPROVAL INDEX SPECIFICATION FOR APPROVAL INDEX COVER PAGE INDEX. 0 SCOPE...1 EXPLANATION OF PART NUMBER 1 CIRCUIT DIAGRAM & DIMENSION...1 SPECIFICATION..... 2-3 TAPING PACKAGE AND LABEL MARKING 4-5 PRECAUTIONS FOR HANDLING.....6-8

More information

Specification KWT803-S

Specification KWT803-S Specification KWT803-S Drawn SSC Approval CUSTOMER Approval Contents 1. Description 2. Absolute Maximum Ratings 3. Electro-Optical Characteristics 4. Characteristic Graph 5. Reliability Test Item and Condition

More information

Guide Specifications Section

Guide Specifications Section Guide Specifications Section 08 87 23 SAFETY-AND-SECURITY FILMS LLumar Safety-and-Security Series Note: Click on Show/Hide button to reveal "Specifier Notes" throughout section. Delete this text when editing

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

DLA LAND AND MARITIME COLUMBUS, OHIO TITLE MICROCIRCUIT, LINEAR, VOLTAGE REFERENCE, MONOLITHIC SILICON

DLA LAND AND MARITIME COLUMBUS, OHIO TITLE MICROCIRCUIT, LINEAR, VOLTAGE REFERENCE, MONOLITHIC SILICON REVISIONS LTR DESCRIPTION DTE PPROVED Make change to the lead finish from -01XB to -01XE. Update document paragraphs to current requirements. - ro 17-08-15 C. SFFLE Prepared in accordance with SME Y14.24

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD IEC 60758 Edition 5.0 2016-05 Synthetic quartz crystal Specifications and guidelines for use INTERNATIONAL ELECTROTECHNICAL COMMISSION ICS 31.140 ISBN 978-2-8322-3395-5 Warning!

More information

TEST METHOD FOR STILL- AND FORCED-AIR JUNCTION-TO- AMBIENT THERMAL RESISTANCE MEASUREMENTS OF INTEGRATED CIRCUIT PACKAGES

TEST METHOD FOR STILL- AND FORCED-AIR JUNCTION-TO- AMBIENT THERMAL RESISTANCE MEASUREMENTS OF INTEGRATED CIRCUIT PACKAGES SEMI G38-0996 N/A SEMI 1987, 1996 TEST METHOD FOR STILL- AND FORCED-AIR JUNCTION-TO- AMBIENT THERMAL RESISTANCE MEASUREMENTS OF INTEGRATED CIRCUIT PACKAGES 1 Purpose The purpose of this test is to determine

More information

EHP-A23/RGB33-P01/TR. Data Sheet. Materials. High Power LED 1W. 1 of 12 Release Date: :11:33.0 Expired Period: Forever

EHP-A23/RGB33-P01/TR. Data Sheet. Materials. High Power LED 1W. 1 of 12 Release Date: :11:33.0 Expired Period: Forever Data Sheet Features Feature of the device: Small package with high efficiency Typical view angle: 120. ESD protection. Soldering methods: SMT Grouping parameter: Brightness, Forward Voltage and wavelength.

More information

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0.

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0. Specification GR101 Pb Free Drawn SSC Approval Customer Approval [ Contents ] 1. Description 2. Absolute maximum ratings 3. Electro-Optical characteristics 4. Characteristic diagrams 5. Reliability result

More information

EHP-AX08EL/UB01H-P01/B7B8/F3

EHP-AX08EL/UB01H-P01/B7B8/F3 Data Sheet Features Feature of the device: Small package with high efficiency Typical wavelength: 465nm Typical view angle: 150 Typical light flux output: 17 lm @ 350mA. ESD protection. Soldering methods:

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

PRELIMINARY SPECIFICATIONS

PRELIMINARY SPECIFICATIONS PRELIMINARY SPECIFICATIONS SMD TYPE TOP VIEW WHITE COLOR LED Model : AT559 W E3 Dongbu LED Co., Ltd. 90-1, Bongmyung-Ri, Namsa-Myun, Cheoin-Gu, Yongin-City, Gyeonggi-Do, Korea 449-882 Tel. : +82-70 - 7896-3600

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

FERRITES. March Ni-Zn. Ferrites for EMI Suppression. BB series(1 Hole)

FERRITES. March Ni-Zn. Ferrites for EMI Suppression. BB series(1 Hole) March 2014 Ni-Zn Ferrites for EMI Suppression BB series(1 Hole) (2/9) REMINDERS FOR USING THESE PRODUCTS Please be sure to read this manual thoroughly before using the products. The products listed on

More information

FPF1003A / FPF1004 IntelliMAX Advanced Load Management Products

FPF1003A / FPF1004 IntelliMAX Advanced Load Management Products August 2012 FPF1003A / FPF1004 IntelliMAX Advanced Load Management Products Features 1.2 V to 5.5 V Input Voltage Operating Range Typical R DS(ON) : - 30 mω at V IN =5.5 V - 35 mω at V IN =3.3 V ESD Protected:

More information

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION Rev D1, Page 1/5 ORDERING INFORMATION Type Package Options Order Designation ic-wg BLCC WGC none ic-wg BLCC WGC ic-wg BLCC WGC reticle ic-wg BLCC WGC-WG1R WG1R Code Disc 13bit-Gray +2048 PPR A/B, d 44mm

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

DRAFT. Robotic Lunar Exploration Program Lunar Reconnaissance Orbiter (LRO)

DRAFT. Robotic Lunar Exploration Program Lunar Reconnaissance Orbiter (LRO) DRAFT Robotic Lunar Exploration Program Lunar Reconnaissance Orbiter (LRO) Comic Ray Telescope for the Effects of Radiation (CRaTER) to Spacecraft Mechanical Interface Control Document Date: July 13, 2005

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

SPECIFICATION. Topview 5550 SMD LED IWS 505-UG-K3. Product : Topview 5550 SMD LED Part No : IWS-505-UG-K3 Customer : Date : Ver.1.

SPECIFICATION. Topview 5550 SMD LED IWS 505-UG-K3. Product : Topview 5550 SMD LED Part No : IWS-505-UG-K3 Customer : Date : Ver.1. SPECIFICATION Product : Part No : Customer : Date : 2008. 06. 10 Ver.1.0 Customer : Approval Manufacturer : ITSWELL Co., LTD Proposed By Approval Comment Suwon Company : 442-190, 802 Uman Industrial Comples,

More information

RS INDUSTRY LIMITED. RS Chip Array ESD Suppressor APPROVAL SHEET. Customer Information. Part No. : Model No. : COMPANY PURCHASE R&D

RS INDUSTRY LIMITED. RS Chip Array ESD Suppressor APPROVAL SHEET. Customer Information. Part No. : Model No. : COMPANY PURCHASE R&D APPROVAL SHEET Customer Information Customer : Part Name : Part No. : Model No. : COMPANY PURCHASE R&D Vendor Information Name: RS INDUSTRY LIMITED Part Name ARRAY TYPE MULTILAYER VARISTOR Part No. RS

More information

5484BN/R7DC-AHJB/XR/MS(ELA)

5484BN/R7DC-AHJB/XR/MS(ELA) Features High luminous intensity output Oval Shape Well defined spatial radiation Wide viewing angle (2θ 1/2 ) : 110 / 40 UV resistant epoxy The product itself will remain within RoHS compliant version

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

3mm Photodiode PD204-6B

3mm Photodiode PD204-6B 3mm Photodiode Features Fast response time High photo sensitivity Small junction capacitance Pb free This product itself will remain within RoHS compliant version. Description is a high speed and high

More information

Specification of V-PORT XXXX-X-V05 Series

Specification of V-PORT XXXX-X-V05 Series 1 Scope Specification of V-PORT-0402 -XXXX-X-V05 Series This specification is applied to ESD and EMI protection for I/O port, for example, VGA, US etc. The customer designed part number drawing take precedence

More information

DLA LAND AND MARITIME COLUMBUS, OHIO TITLE MICROCIRCUIT, LINEAR, CMOS SPDT SWITCH, MONOLITHIC SILICON REVISIONS

DLA LAND AND MARITIME COLUMBUS, OHIO TITLE MICROCIRCUIT, LINEAR, CMOS SPDT SWITCH, MONOLITHIC SILICON REVISIONS REVISIONS LTR DESCRIPTION DTE PPROVED Prepared in accordance with SME Y14.24 Vendor item drawing REV PGE REV PGE REV STTUS OF PGES REV PGE 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 PMIC N/ PREPRED BY RICK OFFICER

More information

TABLE OF CONTENT Scope 2 Alignment Systems and Procedures 2 Balancing 8 Lubrication System 10 Preservation of Spare Parts 12

TABLE OF CONTENT Scope 2 Alignment Systems and Procedures 2 Balancing 8 Lubrication System 10 Preservation of Spare Parts 12 Page : 1 of 21 Project Engineering Standard www.klmtechgroup.com KLM Technology #03-12 Block Aronia, Jalan Sri Perkasa 2 Taman Tampoi Utama 81200 Johor Bahru Malaysia TABLE OF CONTENT Scope 2 Alignment

More information

PRELIMINARY SPECIFICATIONS

PRELIMINARY SPECIFICATIONS PRELIMINARY SPECIFICATIONS SMD TYPE WHITE POWER LED Model : AL052 W N3 Dongbu LED Co., Ltd. 90-1, Bongmyung-Ri, Namsa-Myun, Cheoin-Gu, Yongin-City, Gyeonggi-Do, Korea 449-882 Tel. : +82-70 - 7896-3600

More information

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION Rev E2, Page 1/5 ORDERING INFORMATION Type Package Options Order Designation ic-wg BLCC WGC Glass Lid ic-wg BLCC WGC-WG1L ic-wg BLCC WGC reticle ic-wg BLCC WGC-WG1R WG1R Code Disc 13bit-Gray +2048 PPR

More information

PRODUCT DATA SHEET. Eagle Eye Outdoor LED Module 5700K. RoHS Compliant. CUSTOMER :. DATE : REV : Rev. 2.0.

PRODUCT DATA SHEET. Eagle Eye Outdoor LED Module 5700K. RoHS Compliant. CUSTOMER :. DATE : REV : Rev. 2.0. CUSTOMER :. DATE : 213. 4. 23. REV : Rev. 2.. PRODUCT DATA SHEET Eagle Eye Outdoor LED Module 57K MODEL NAME : LLDMWW-15K71A (Type II Lens - H:16 V:7 ) LLDMWW-15K72A (Type III Lens - H:135 V:6 ) LLDMWW-15K73A

More information

Background Statement for SEMI Draft Document #5691 New Standard: Test Method for Measurement of Chip (Die) Strength by Mean of Cantilever Bending

Background Statement for SEMI Draft Document #5691 New Standard: Test Method for Measurement of Chip (Die) Strength by Mean of Cantilever Bending Background Statement for SEMI Draft Document #5691 New Standard: Test Method for Measurement of Chip (Die) Strength by Mean of Cantilever Bending Notice: This background statement is not part of the balloted

More information

IPC-TM-650 TEST METHODS MANUAL

IPC-TM-650 TEST METHODS MANUAL 3000 Lakeside Drive, Suite 105N Bannockburn, IL 60015-1249 TEST METHODS MNUL Number Thermal Stress, Convection Reflow ssembly Simulation Originating Task Group Thermal Stress Test Methodology Subcommittee

More information

Multilayer Ceramic Chip Capacitors

Multilayer Ceramic Chip Capacitors HIGH VOLTAGE SERIES JARO high voltage series Multilayer Ceramic Capacitors are constructed by depositing alternative layers of ceramic dielectric materials and internal metallic electrodes, by using advanced

More information

Display Surface-mount ELSS-406SURWA/S530-A3/S290

Display Surface-mount ELSS-406SURWA/S530-A3/S290 Features Industrial standard size. Packaged in tape and reel for SMT manufacturing. The thickness is thinness than tradition display. Low power consumption. Categorized for luminous intensity. Pb free

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

@Copyright 2016 SKAPS Industries.

@Copyright 2016 SKAPS Industries. SKAPS INDUSTRIES 571 Industrial Pkwy, Commerce, GA 30529 Phone: (706) 336 7000 Fax: (706) 336 7007 E Mail: contact@skaps.com SKAPS GEOCOMPOSITE DROP IN SPECIFICATIONS @Copyright 2016 SKAPS Industries www.skaps.com

More information

Storing, using and disposing of unsealed radioactive substances in a Type C Laboratory: Extract of regulatory requirements

Storing, using and disposing of unsealed radioactive substances in a Type C Laboratory: Extract of regulatory requirements Storing, using disposing of unsealed radioactive substances in a Type C Laboratory: Extract of regulatory requirements Radiation Protection Control (Ionising Radiation) Regulations 2000 Requirements for

More information

5mm Silicon PIN Photodiode, T-1 3/4 PD333-3B/H0/L2

5mm Silicon PIN Photodiode, T-1 3/4 PD333-3B/H0/L2 Features Fast response time High photo sensitivity Small junction capacitance Pb free This product itself will remain within RoHS compliant version. Description is a high speed and high sensitive PIN photodiode

More information

Facilities Management

Facilities Management Policy Number: 700.20 Title: Chemical Fume Hood Policy Implementation Date: 2002 Last Audited: August, 2017 Last Revised: October 23rd, 2017 Facilities Management Introduction The laboratory chemical fume

More information

AA2214VRBXS/A-TR-AMT 2.2 x 1.4 mm Surface Mount LED Lamp

AA2214VRBXS/A-TR-AMT 2.2 x 1.4 mm Surface Mount LED Lamp 2.2 x 1.4 mm Surface Mount LED Lamp DESCRIPTIONS The source color devices are made with InGaN Light Emitting Diode Electrostatic discharge and power surge could damage the LEDs It is recommended to use

More information

Agilent HLMP-CW18, HLMP-CW19, HLMP-CW28, HLMP-CW29, HLMP-CW38, HLMP-CW39 T-1 3/4 Precision Optical Performance White LED Data Sheet

Agilent HLMP-CW18, HLMP-CW19, HLMP-CW28, HLMP-CW29, HLMP-CW38, HLMP-CW39 T-1 3/4 Precision Optical Performance White LED Data Sheet Agilent HLMP-CW18, HLMP-CW19, HLMP-CW28, HLMP-CW29, HLMP-CW38, HLMP-CW39 T-1 3/4 Precision Optical Performance White LED Data Sheet Description These Super Bright Precision Optical Performance LED lamps

More information

SPECIFICATION. - Contents -

SPECIFICATION. - Contents - SPECIFICATION Device Type Model Customer Top View LED U56-3REN - Contents - 1. Outline Drawing And Dimension 2. Material Informations 3. Feature & Applications 4. Absolute Maximum Ratings 5. Initial Electrical/Optical

More information

Inside Wall Temperature Measurements of DSTs Using an Infrared Temperature Sensor

Inside Wall Temperature Measurements of DSTs Using an Infrared Temperature Sensor TEST PLAN Inside Wall Temperature Measurements of DSTs Using an Infrared Temperature Sensor Date submitted: December 18, 2015 Prepared by: Aparna Aravelli, Ph.D. Florida International University Collaborators:

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

Surface Mount UV LED. NUVA33 Series PART NUMBERING SYSTEM. WAVELENGTH CODES Code Nominal Wavelength

Surface Mount UV LED. NUVA33 Series PART NUMBERING SYSTEM. WAVELENGTH CODES Code Nominal Wavelength FEATURES SURFACE MOUNT 3.4mm x 3.4mm x 2.37mm WAVELENGTH 365 ~ 45nm FOR UV CURING, PHOTO CATALYST & SENSOR LIGHTING RoHS COMPLIANT COMPATIBLE WITH REFLOW SOLDERING TAPE AND REEL PACKAGING SPECIFICATIONS

More information

DRAFT. Robotic Lunar Exploration Program Lunar Reconnaissance Orbiter 431-ICD Date: September 15, 2005

DRAFT. Robotic Lunar Exploration Program Lunar Reconnaissance Orbiter 431-ICD Date: September 15, 2005 DRAFT Robotic Lunar Exploration Program Lunar Reconnaissance Orbiter Lunar Reconnaissance Orbiter to Comic Ray Telescope for the Effects of Radiation Mechanical Interface Control Document Date: September

More information

Standard Guide for Determination of the Thermal Resistance of Low-Density Blanket-Type Mineral Fiber Insulation 1

Standard Guide for Determination of the Thermal Resistance of Low-Density Blanket-Type Mineral Fiber Insulation 1 Designation: C 653 97 Standard Guide for Determination of the Thermal Resistance of Low-Density Blanket-Type Mineral Fiber Insulation 1 This standard is issued under the fixed designation C 653; the number

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD IEC 60384-8-1 QC 300601 Second edition 2005-05 Fixed capacitors for use in electronic equipment Part 8-1: Blank detail specification: Fixed capacitors of ceramic dielectric, Class

More information

Received. Revised record

Received. Revised record Received MASS PRODUCTION PRELIMINARY CUSTOMER DESIGN DEVICE NO. : DHE-0000991 PAGE : 12 Revised record REV. DESCRIPTION RELEASE DATE 1 New spec 2011.03.09 2 Change Format 2011.03.31 3 1. Change Color Binning

More information

NEMA MW (R2014) Dynamic Coefficient of Friction of Film-Insulated Magnet Wire

NEMA MW (R2014) Dynamic Coefficient of Friction of Film-Insulated Magnet Wire NEMA MW 750-2009 (R2014) Dynamic Coefficient of Friction of Film-Insulated Magnet Wire Published by National Electrical Manufacturers Association 1300 North 17th Street, Suite 900 Rosslyn, VA 22209 www.nema.org.

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Introduction...COMB-2 Design Considerations and Examples...COMB-3

Introduction...COMB-2 Design Considerations and Examples...COMB-3 SECTION DIRECTORY General Information Introduction...COMB-2 Design Considerations and Examples...COMB-3 Combination Assembly Recommendations and Limitations Composite Configurations...COMB-4 Typical Sealant

More information

RoHS. Specification CUD8AF1C. 서식 Rev: 00

RoHS. Specification CUD8AF1C.   서식 Rev: 00 Specification RoHS CUD8AF1C 1 [ Contents ] 1. Description 2. Outline dimensions 3. Characteristics of CUD8AF1C 4. Characteristic diagrams 5. Binning & Labeling 6. Reel packing 7. Recommended solder pad

More information

SI Surging Ideas TVS Diode Application Note PROTECTION PRODUCTS. Layout Guidelines for adding ESD Protection in HDMI Receiver Applications

SI Surging Ideas TVS Diode Application Note PROTECTION PRODUCTS. Layout Guidelines for adding ESD Protection in HDMI Receiver Applications Layout Guidelines for adding ESD Protection in HDMI Receiver Applications The High Definition Multimedia Interface (HDMI) video signals are transmitted on very high speed differential pairs. These lines

More information

5mm Infrared LED HIR383C/L289

5mm Infrared LED HIR383C/L289 5mm Infrared LED Features High reliability High radiant intensity Peak wavelength λp=85nm 2.54mm Lead spacing Low forward voltage Pb Free This product itself will remain within RoHS compliant version.

More information

Standard Test Method for Flat Particles, Elongated Particles, or Flat and Elongated Particles in Coarse Aggregate 1

Standard Test Method for Flat Particles, Elongated Particles, or Flat and Elongated Particles in Coarse Aggregate 1 Designation: D479 0 Standard Test Method for Flat Particles, Elongated Particles, or Flat and Elongated Particles in Coarse Aggregate This standard is issued under the fixed designation D479; the number

More information

EHP-AX08EL/GT01H-P03/5063/Y/N13

EHP-AX08EL/GT01H-P03/5063/Y/N13 Data Sheet Features Feature of the device: Small package with high efficiency Typical color temperature: 5650 K. Typical viewing angle: 140 Typical light flux output: 160 lm @700mA. ESD protection. Soldering

More information

In-Solution Digestion: Multi-Plate v1.0 Quick Start Guide

In-Solution Digestion: Multi-Plate v1.0 Quick Start Guide In-Solution Digestion: Multi-Plate v1.0 Quick Start Guide This guide is for users who have been trained in the proper use of the AssayMAP Bravo Platform and understand the safety guidelines in the Bravo

More information

MATERIAL AND EQUIPMENT STANDARD FOR. INHIBITOR FOR HYDROCHLORIC ACID AND HCl+HF DESCALING AND PICKLING SOLUTION FOR OIL AND GAS WELLS ORIGINAL EDITION

MATERIAL AND EQUIPMENT STANDARD FOR. INHIBITOR FOR HYDROCHLORIC ACID AND HCl+HF DESCALING AND PICKLING SOLUTION FOR OIL AND GAS WELLS ORIGINAL EDITION IPS-M-TP- 676 MATERIAL AND EQUIPMENT STANDARD FOR INHIBITOR FOR HYDROCHLORIC ACID AND HCl+HF AS DESCALING AND PICKLING SOLUTION FOR OIL AND GAS WELLS ORIGINAL EDITION DEC. 1997 This standard specification

More information

ATtiny87/ATtiny167. Appendix A - ATtiny87/ATtiny167 Automotive Specification at 150 C DATASHEET. Description

ATtiny87/ATtiny167. Appendix A - ATtiny87/ATtiny167 Automotive Specification at 150 C DATASHEET. Description ATtiny87/ATtiny167 Appendix A - ATtiny87/ATtiny167 Automotive Specification at 150 C DATASHEET Description This document contains information specific to devices operating at temperatures up to 150 C.

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

PRELIMINARY SPECIFICATIONS

PRELIMINARY SPECIFICATIONS PRELIMINARY SPECIFICATIONS SMD TYPE SIDE VIEW WHITE LED Model : AS812TWP3Q Dongbu LED Co., Ltd. 90-1, Bongmyung-Ri, Namsa-Myun, Cheoin-Gu, Yongin-City, Gyeonggi-Do, Korea 449-882 Tel. : +82-70 - 7896-3600

More information

Received. Revised record

Received. Revised record CHIN Series Received MASS PRODUCTION PRELIMINARY CUSTOMER DESIGN DEVICE NO. : DHE-0001959 PAGE : 12 Revised record REV. DESCRIPTION RELEASE DATE 1 New spec 2012.07.06 2 Change Product Labeling 2015.09.25

More information

Model 556X User s Manual. Optical Isolator

Model 556X User s Manual. Optical Isolator Model 556X User s Manual Optical Isolator 550031 Rev. A 2 Is a registered trademark of New Focus Inc. Warranty New Focus, Inc. guarantees its products to be free of defects for one year from the date of

More information

TRENCHSTOP TM RC-Series for hard switching applications. IGBT chip with monolithically integrated diode in packages offering space saving advantage

TRENCHSTOP TM RC-Series for hard switching applications. IGBT chip with monolithically integrated diode in packages offering space saving advantage IGBT chip with monolithically integrated diode in packages offering space saving advantage Features: TRENCHSTOP TM Reverse Conducting (RC) technology for 600V applications offering: Optimised V CEsat and

More information

PMLCAP. Polymer Multi Layer Capacitor Specification Sheet RUBYCON CORPORATION PML DIVISION. PMLCAP ST series RPR Rubycon PART No. Drawing No.

PMLCAP. Polymer Multi Layer Capacitor Specification Sheet RUBYCON CORPORATION PML DIVISION. PMLCAP ST series RPR Rubycon PART No. Drawing No. To Polymer Multi Layer Capacitor Specification Sheet Rubycon PART No. ST series Drawing No. RPR-0024 Issued Date October 15, 2008 PML DIVISION 2932, MOTOOSHIMA, MATSUKAWA-MACHI, SHIMOINA-GUN, NAGANO-KEN,

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD ISO 22768 First edition 2006-07-15 Rubber, raw Determination of the glass transition temperature by differential scanning calorimetry (DSC) Caoutchouc brut Détermination de la température

More information

Trial run of SWIFT instrument mounting onto PALAO. Version 1.1

Trial run of SWIFT instrument mounting onto PALAO. Version 1.1 COO CALTECH OPTICAL OBSERVATORIES CALIFORNIA INSTITUTE OF TECHNOLOGY Caltech Instrumentation Note #607 Trial run of SWIFT instrument mounting onto PALAO Version 1.1 Anna Moore 2006-08-04 Caltech Optical

More information

SFH615A / SFH6156. Pb Pb-free. Optocoupler, High Reliability, 5300 V RMS VISHAY. Vishay Semiconductors

SFH615A / SFH6156. Pb Pb-free. Optocoupler, High Reliability, 5300 V RMS VISHAY. Vishay Semiconductors SFH6A / SFH66 Optocoupler, High Reliability, 300 V RMS Features Excellent CTR Linearity Depending on Forward Current Isolation Test Voltage, 300 V RMS Fast Switching Times Low CTR Degradation Low Coupling

More information