Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Size: px
Start display at page:

Download "Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks"

Transcription

1 Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology, Inc., Santa Clara, CA ABSTRACT The fabrication of a production-worthy phase shift mask requires, among other things, excellent uniformity of critical dimensions (trench width and depth) and optical properties of the phase shift material (MoSi). Traditionally, CD-SM has been the instrument of choice for the measurement of width; AFP (Atomic Force Profilometer) or conventional profilometer for the measurement of depth; and Interferometer for the measurement of phase shift and transmittance of the phase shift material. We present an innovative optical metrology solution based on broadband reflectometry, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analysis is performed using Forouhi-Bloomer dispersion equations, in conjunctions with Rigorous Coupled Wave Analysis (RCWA). The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from nm) for all materials present in the structure. In the current study, the method described above was used to examine photomasks at two stages of mask manufacturing process: After tch Inspection (AI) and After Strip Inspection (ASI). The results were compared with the measurements taken on the same samples using conventional CD-SM. Two comparison studies were conducted global CD uniformity and CD linearity. The CD linearity study demonstrated excellent correlation between the values of grating line width obtained using this new optical reflectometry approach and a CD-SM for the grating structures of two pitches (760 nm and 1120 nm). The global CD uniformity study revealed that this presented reflectometry method can be used to produce CD uniformity maps which demonstrate excellent correlation with the results obtained using a conventional CD-SM. The advantages of the optical method are high throughput, non-destructive nature of the measurements and capability to measure a wider variety of structures pertinent to the photomask manufacturing process. Keywords: Optical metrology, critical dimensions, broadband reflectometry, Forouhi-Bloomer dispersion equations, RCWA, CD linearity. I. INTRODUCTION In order to insure quality of the product, photomask manufacturers of today are seeking new ways to reliably measure critical dimensions on their masks. Conventional techniques, such as CD-SM and AFM are being replaced by faster, non-destructive optical instruments, and new metrological methods are being developed and refined continuously. Measurements of critical dimensions is important on every stage of the mask manufacturing process starting with the measurement of the photoresist lines on chrome and ending with the measurement of the final pellicalized reticle. Fabrication of a production-worthy phase shift mask requires, among other things, excellent uniformity of critical dimensions (trench width and depth) and optical properties of the phase shift material (MoSi). Characterization of the CD and phase shift uniformity distribution on a photomask requires a multiple-point measurement across the photomask surface. This type of measurement may take hours on a CD-SM. Moreover, at some stages of the process, this measurement is altogether impossible on a CD-SM due to the severe charging of the sample. Metrology method we present here is capable of measuring critical dimensions and phase shift at every stage of the mask manufacturing process. The technique is based on the simultaneous measurement of broadband reflectance and Photomask Technology 2006, edited by Patrick M. Martin, Robert J. Naber, Proc. of SPI Vol. 6349, 63491O, (2006) X/06/$15 doi: / Proc. of SPI Vol O-1

2 transmittance spectra, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analyses of both types of spectra are performed simultaneously, using the Forouhi-Bloomer dispersion equations, in conjunctions with the Rigorous Coupled Wave Analysis (RCWA). The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from nm) for all materials present in the structure. II. MASURMNT MTHOD In the current study, all the measurements were performed using a spectrophotometer-based instrument (n&k R-T Scatterometer), capable of collecting continuous broadband reflectance and transmittance spectra in the range between 190 and 1000 nm in one nanometer intervals. The light source was equipped with a rotating polarizer, which facilitates T and TM polarizations of the incident light. As a result, four spectra (R s, R p, T s and T p ) can be obtained during one measurement of the same location. The measurement spot diameter is 35 microns. For this particular study, transmittance spectra were used strictly for the characterization of the optical properties of the materials used in the film structure. The data was analyzed using the Forouhi-Bloomer dispersion relations in conjunction with the Rigorous Coupled Waves Analysis (RCWA). The two polarized reflectance spectra collected at each site were analyzed using a nonlinear regression algorithm in order to obtain critical dimensions, thickness, and optical properties (n and k spectra from nm) for all materials present in the structure. Generally, the method described above can be used to simultaneously analyze any combination of polarized and/or unpolarized reflectance and transmittance spectra. Analysis of several spectra at the same time is generally a lengthier process; however, it facilitates more stable and unambiguous results. The following figure depicts a typical fit between four measured spectra and four calculated spectra, generated by the analysis software. Rs & Rp / Ts & Tp (%) Rs-exp Rs-cal Rp-exp Rp-cal Ts-exp Ts-cal Tp-exp Tp-cal Wavelength (nm) Figure 1: Typical fit between four measured spectra (R s -exp, R p -exp, T s -exp, T p -exp) and their calculated counterparts (R s -cal, R p -cal, T s -cal, T p -cal). The method can also be used to determine a detailed profile of a periodic grating structure. The following figure illustrates an example of such analysis applied to the characterization of the profile of the photoresist lines on chrome (ADI structure). The cross section of the same grating structure obtained using SM is displayed on the right. Proc. of SPI Vol O-2

3 Jwnw Figure 2: Typical ADI trench profile obtained using the technique described above. III. MASURMNT OF AI AND ASI PHAS SHIFT MASKS The method described above was applied to the measurement of CD uniformity and linearity on the After tch Inspection (AI) and After Strip Inspection (ASI) phase shift photomasks. The samples were generously provided by the Taiwan Semiconductor Manufacturing Company. The following grating structures were measured: AFT Mask Cross Section I I Cr I AST Mask Cross Section Quaitz Quaitz Figure 3: Schematic drawing of the cross section of measured AI and ASI trench structures. For the global CD uniformity study, each sample was measured at 121 locations across the mask. At each location an 800 nm pitch grating array was measured with the purpose of obtaining trench depth, line width and thickness of all films present in the structure. The following figure depicts global CD uniformity comparison between n&k R-T Scatterometer and CD-SM for the AI photomask. Proc. of SPI Vol O-3

4 n&k R-T Scatterometer AI Mask CD (Pitch 800 nm) CD-SM " O24H 64 (cm) Maximum Width: nfl' Maximum Width: 411.6_nfl' Minimum Width: nfl Minimum_Width: 403.9_mn Mean Width: mn Mean_Width: 407.3_nm 3o Standard Dev.: 3.7 nm 3o Standard Dev.: 4.5 nfl' Figure 4: Global AI CD uniformity comparison between n&k R-T Scatterometer and CD-SM. ((n) The following figure depicts global CD uniformity comparison between n&k R-T Scatterometer and CD-SM for the ASI photomask. Figure 5: Global ASI CD uniformity comparison between n&k R-T Scatterometer and CD-SM. For the purpose of the linearity study, 760 and 1120 nm pitch gratings were measured at the center and at the edge of each mask. For 760 nm pitch gratings, at each site 11 grating arrays with nominal line widths of 310, 312, 314, 316, Proc. of SPI Vol O-4

5 318, 320, 322, 324, 326, 328 and 330 nanometers were measured. For 1120 nm pitch gratings, at each site 11 grating arrays with nominal line widths of 390, 392, 394, 396, 398, 400, 402, 404, 406, 408 and 410 nanometers were measured. The results were compared to the measurements obtained using a conventional CD-SM. The following plots illustrate typical correlation between the n&k R-T Scatterometer and the CD-SM. AI Mask CD Linearity (Pitch 1120 mn) (Center) AI Mask CD Linearity (Pitch 1120 mn) (dge) I I.5. S c x 1- C.997x R ' V. 5 5 r , ' / I I I I I I I I I I CD-SM Result (nni) CD-SM Result (nni) r Figure 6: Correlation between measurements using CD-SM and n&k R-T Scatterometer on AI mask a (F) ASI Mask CD Linearily (Pitch 760 nm) (Center) V. y x , 4 / CD-SM Result (nni) (F) a 5, ASI Mask CD Linearily (Pitch 760 nm) (dge).,, x +4.8 R ' CD-SM Result (nni) '4 p 4 Figure 7: Correlation between measurements using CD-SM and n&k R-T Scatterometer on ASI mask. V. APPLICATION TO TH MASURMNT OF PLLICALIZD PHOTOMASKS Modern-day photomasks are usually pellicalized at the end of the mask manufacturing process. A pellicle is a thin protective layer, mounted over the surface of the mask with help of an aluminium frame. The function of the pellicle is to protect the mask surface from contamination. The particles which are stuck to the pellicle have no effect on the wafer image, since they appear significantly out of focus. A prospect of measuring critical dimensions on a pellicalized mask is very tempting, since that way the exposure of the actual mask to particles is minimized. It is, however, very challenging, since the measurement has to be done through the pellicle. Obviously, any type of conventional measurement (AFM, CD-SM, etc.) would be impossible only an optical measurement could be accomplished through the pellicle without damaging the membrane. Proc. of SPI Vol O-5

6 Several attempts have been made to use scatterometry-base techniques to measure pellicalized masks, however the intensity of the light reflected off the mask surface and through the membrane is too low for a robust measurement. Therefore, a transmittance-based technique has to be used for an effective CD measurement. Typical polarized transmittance spectra (T s and T p ) obtained on a grating array measured through a pellicle are presented in the figure below a 60 ob I Wavelength (nm) Figure 8: Typical polarized transmittance spectra (T s and T p ) obtained on a grating array measured through a pellicle. The most important question raised by the manufacturers who want to be able to use the optical technique for the measurement of pellicalized mask is: How does the pellicle affect the measurement, and how is the measurement obtained through a pellicle compare to the conventional measurement without a pellicle? The figures below illustrate the comparison between the results obtained on the same ASI mask with and without a pellicle. The measurement was performed at 25 locations on the mask, and at each location 5 gratings of various pitches and critical dimensions were measured. ASI Measurement through Pellicle ASI Measurement without Pellicle Line Width Line CD (in (micron) microns) Line Width Line CD (in (micron) microns) P190 P200 P210 P220 P Site Index Number Site Index Number Site Site Index Index Number Figure 9: Comparison between the results obtained on the same ASI mask with and without a pellicle. Features P190, P200, P210, P220 and P250 correspond to gratings with pitches 760, 800, 840, 880 and 1000 nm. It is evident that with an appropriate analysis recipe adjustment, the measurements through the pellicle match the results obtained without the pellicle. VI. SUMMARY A fast non-destructive optical metrology method was applied to the measurement of AI and ASI phase shift photomasks. Grating structures of various pitched and critical dimensions were measured using a spectrophotometerbased instrument (n&k R-T Scatterometer) and analyzed using the Forouhi-Bloomer dispersion relations, in conjunction Proc. of SPI Vol O-6

7 with RCWA, to extract the values of n and k, film thickness, and trench dimensions. The obtained results demonstrate excellent correlation with CD-SM measurements of the same samples. The same method was used to measure critical dimensions of a pellicalized ASI photomask. The obtained results demonstrate excellent correlation with the results obtained on the same mask with the pellicle removed. The described metrology solution has the advantages of high throughput and non-destructive nature over conventional metrology techniques, such as AFM or CD-SM. The instrument has the capability of measuring a wide variety of structures pertinent to the photomask manufacturing process and can be easily integrated with other tools. Acknowledgements We would like to thank Johnson Hung and W.C. Wang of the Taiwan Semiconductor Manufacturing Company (TSMC) for providing the samples and CD-SM measurement results. We would also like to thank George Li of n&k Technology for supervising the measurements and analysis of the samples. Proc. of SPI Vol O-7

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA Novel Technique for Critical Dimension Measurements of Phase-shift Mass Using Broadband Transmittance Spectra in Conjunction with RCWA Alexander Gray University of California at Davis, CA John C. Lam and

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry B. Bodermann, S. Bonifer, E. Buhr, A. Diener, M. Wurm, Physikalisch-Technische Bundesanstalt, Braunschweig, Germany

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JULY 2007 VOLUME 23, ISSUE 7 Novel Technique for Critical Dimension Measurements of Phase-shift

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology ECS Transactions, 6 () 237-242 (24).49/6.237ecst The Electrochemical Society Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology Shiyuan Liu *, Xiuguo Chen, and Chuanwei Zhang State

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Single Pass Die to Database Tritone Reticle Inspection Capability

Single Pass Die to Database Tritone Reticle Inspection Capability Single Pass Die to Database Tritone Reticle Inspection Capability Bryan Reese, KLA-Tencor Corporation, Austin, Texas, USA Jan Heumann, AMTC, Dresden, Germany Norbert Schmidt, KLA-Tencor Corporation, Dresden,

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

A Hybrid Analysis of Ellipsometry Data from Patterned Structures

A Hybrid Analysis of Ellipsometry Data from Patterned Structures A Hybrid Analysis of Ellipsometry Data from Patterned Structures Wei Kong, Hsu-ting Huang, and Fred L. Terry, Jr. Department of Electrical Engineering and Computer Science, University of Michigan, Ann

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards

Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards Alexander V. Fomenko Institute of Robotics, Innopolis University, Innopolis, Russia xanderfomenko@gmail.com Alexander

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Mask induced polarization effects at high NA

Mask induced polarization effects at high NA Mask induced polarization effects at high NA Andrew Estroff, Yongfa Fan, Anatoly Bourov, Bruce Smith Rochester Institute of Technology, Microelectronic Engineering, Rochester, NY 14623 Philippe Foubert,

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Jim BOVATSEK *1, Rajesh PATEL *1 *1 Spectra-Physics, MKS Instruments, Inc., 3635 Peterson Way, Santa Clara, CA., 95054,

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

FOR SEMICONDUCTORS 2009 EDITION METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION METROLOGY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Characterization of MEMS Devices

Characterization of MEMS Devices MEMS: Characterization Characterization of MEMS Devices Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap Fabrication of MEMS Conventional

More information

Rapid and precise monitor of reticle haze

Rapid and precise monitor of reticle haze Rapid and precise monitor of reticle haze Terrence Zavecz a, Bryan Kasprowicz b a TEA Systems Corp., 65 Schlossburg St. Alburtis, PA 18011 USA: Email: tzavecz@teasystems.com b Photronics Inc., 601 millennium

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

A faster, more accurate way of characterizing cube beamsplitters using the Agilent Cary 7000 Universal Measurement Spectrophotometer (UMS)

A faster, more accurate way of characterizing cube beamsplitters using the Agilent Cary 7000 Universal Measurement Spectrophotometer (UMS) A faster, more accurate way of characterizing cube beamsplitters using the Agilent Cary 7000 Universal Measurement Spectrophotometer (UMS) Application note Materials Authors Travis Burt, Chris Colley,

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Improving Yield for High Pin Count Wafer Probing Applications

Improving Yield for High Pin Count Wafer Probing Applications John R. Goulding Martin Elzingre Larry Hendler Technical Marketing Manager 200mm Product Manager Consultant Electroglas, Inc. Electroglas, Inc. Electroglas, Inc. South West Test Workshop June 11-14 th

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Heidi Dumais Department of Physics and Astronomy, Brigham Young University Abstract - The reflectance and transmittance

More information

Evaluating Labsphere s new UV-2000

Evaluating Labsphere s new UV-2000 Ciba Grenzach GmbH Optical Spectroscopy Sabrina Siegle Dr. Wolfgang Schlenker Tel. +49 76 24 / 12-28 13 E-mail wolfgang.schlenker@ciba.com May 26, 9 Evaluating Labsphere s new UV- Abstract Labsphere s

More information

Demonstration of Near-Infrared Negative-Index Materials

Demonstration of Near-Infrared Negative-Index Materials Demonstration of Near-Infrared Negative-Index Materials Shuang Zhang 1, Wenjun Fan 1, N. C. Panoiu 2, K. J. Malloy 1, R. M. Osgood 2 and S. R. J. Brueck 2 1. Center for High Technology Materials and Department

More information

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Anis Rahman and Aunik K. Rahman Applied Research & Photonics 470 Friendship Road, Suite 10 Harrisburg, PA 17111,

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion

Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion VG04-123 Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion Douglas J. Bamford, David J. Cook, and Scott J. Sharpe Physical Sciences Inc. Jeffrey Korn and Peter

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Simultaneous Temperature and Strain Sensing for Cryogenic Applications Using Dual-Wavelength Fiber Bragg Gratings

Simultaneous Temperature and Strain Sensing for Cryogenic Applications Using Dual-Wavelength Fiber Bragg Gratings Simultaneous Temperature and Strain Sensing for Cryogenic Applications Using Dual-Wavelength Fiber Bragg Gratings Meng-Chou Wu *, William H. Prosser NASA, Langley Research Center, MS 231, Hampton, VA,

More information

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures M.Y. Chiu, C.-H. Chang, F.-Y. Chang, and Peichen Yu, Green Photonics Laboratory Department of Photonics National

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Scatterometry reference standards to improve tool matching and traceability in lithographical nanomanufacturing Emil Agocs a, Bernd Bodermann a, Sven Burger b, Gaoliang Dai a, Johannes Endres a, Poul-Erik

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Changing the Dopant Concentration. Diffusion Doping Ion Implantation Changing the Dopant Concentration Diffusion Doping Ion Implantation Step 11 The photoresist is removed with solvent leaving a ridge of polysilicon (the transistor's gate), which rises above the silicon

More information

Improved Diffraction Computation with a Hybrid C-RCWA-Method

Improved Diffraction Computation with a Hybrid C-RCWA-Method Improved Diffraction Computation with a Hybrid C-RCWA-Method Joerg Bischoff, Timbre Technologies, INC. A TEL Company, 2953 Bunker Hill Lane #301, Santa Clara, California 95054, USA and Tokyo Electron Germany/

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Overview of Nanotechnology Applications and Relevant Intellectual Property NANO POWER PATENTS

Overview of Nanotechnology Applications and Relevant Intellectual Property NANO POWER PATENTS Overview of Nanotechnology Applications and Relevant Intellectual Property NANO POWER PATENTS Jeffrey H. Rosedale, Ph.D., J.D. Registered Patent Attorney Partner, Woodcock Washburn, LLP ~ 9000 Issued U.S.

More information

CUSTOM RETICLE SOLUTIONS

CUSTOM RETICLE SOLUTIONS CUSTOM RETICLE SOLUTIONS Special Micro Structures Pyser-SGI has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, WISE 2000, International Workshop on Spectroscopic Ellipsometry, 8 9 May 2000 DUV (150 350nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, Pierre BOHER,,

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

THIN-FILM MEASUREMENT

THIN-FILM MEASUREMENT ADVANCED THIN-FILM MEASUREMENT SYSTEMS TAKING THE MYSTERY OUT OF THIN-FILM MEASUREMENT ABOUT THIN-FILM MEASUREMENTS THIN-FILM MEASUREMENT Introduction Thin film Thin films are very thin layers of material

More information

Introduction to Fourier Transform Infrared Spectroscopy

Introduction to Fourier Transform Infrared Spectroscopy molecular spectroscopy Introduction to Fourier Transform Infrared Spectroscopy Part of Thermo Fisher Scientific Introduction What is FT-IR? FT-IR stands for Fourier Transform InfraRed, the preferred method

More information

Small Angle Scattering - Introduction

Small Angle Scattering - Introduction Small Angle Scattering - Introduction Why scattering at small angles? Angle & size related thru Braggs law: λ = 2d sin θ Small Angle Scattering - Introduction Why scattering at small angles? Angle & size

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence vnaoki Awaji (Manuscript received December 13, 21) We have developed a grazing incidence

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

The Broadband Fixed-Angle Source Technique (BFAST) LUMERICAL SOLUTIONS INC

The Broadband Fixed-Angle Source Technique (BFAST) LUMERICAL SOLUTIONS INC The Broadband Fixed-Angle Source Technique (BFAST) LUMERICAL SOLUTIONS INC. 1 Outline Introduction Lumerical s simulation products Simulation of periodic structures The new Broadband Fixed-Angle Source

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

Johnson, N.P. and Khokhar, A.Z. and Chong, H.M.H. and De La Rue, R.M. and McMeekin, S. (2006) Characterisation at infrared wavelengths of metamaterials formed by thin-film metallic split-ring resonator

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi: 10.1038/nnano.2011.72 Tunable Subradiant Lattice Plasmons by Out-of-plane Dipolar Interactions Wei Zhou and Teri W. Odom Optical measurements. The gold nanoparticle arrays

More information

Noncontact electrical metrology of Cu/low-k interconnect for semiconductor production wafers

Noncontact electrical metrology of Cu/low-k interconnect for semiconductor production wafers Noncontact electrical metrology of Cu/low-k interconnect for semiconductor production wafers Vladimir V. Talanov, André Scherz, and Andrew R. Schwartz Neocera, Inc., 10000 Virginia Manor Road, Beltsville,

More information

Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application

Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application FFeature Article Article Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application Yoko NAKAI HORIBA s CS-Series chemical concentration monitors that use ultraviolet

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures Jun Yan *, Davoud Zamani *, Bert Vermeire +, Farhang Shadman * * Chemical Engineering, University of Arizona

More information

THE APPLICATION OF THERMAL DIFFUSIVITY IMAGING TO SIC-FIBER

THE APPLICATION OF THERMAL DIFFUSIVITY IMAGING TO SIC-FIBER THE APPLICATION OF THERMAL DIFFUSIVITY IMAGING TO SIC-FIBER REINFORCED SICON NITRIDE K. Elliott Cramer, William P. Winfree NASA - Langley Research Center 3 East Taylor St. Mail Stop 231 Hampton, VA'23681

More information

Newsletter 1/2014. Super-polished copper a new substrate material. Fabrication of neutron collimators launched

Newsletter 1/2014. Super-polished copper a new substrate material. Fabrication of neutron collimators launched Polarizing supermirror m = 5.5 Next generation of metallic guides welded assembly Fabrication of neutron collimators launched Super-polished copper a new substrate material Partnership Next generation

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Systems Approaches to Estimation Problems in Thin Film Processing

Systems Approaches to Estimation Problems in Thin Film Processing Systems Approaches to Estimation Problems in Thin Film Processing Tyrone Vincent tvincent@mines.edu October 20, 2008 T. Vincent (IMPACT) Systems Approaches to Estimation October 20, 2008 1 / 45 Acknowledgements

More information

ICP-3000 Inductively Coupled Plasma Optical Emission Spectrometer

ICP-3000 Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer is powerful simultaneous full

More information

DESIGN AND FABRICATION OF THE MICRO- ACCELEROMETER USING PIEZOELECTRIC THIN FILMS

DESIGN AND FABRICATION OF THE MICRO- ACCELEROMETER USING PIEZOELECTRIC THIN FILMS DESIGN AND FABRICATION OF THE MICRO- ACCELEROMETER USING PIEZOELECTRIC THIN FILMS JYH-CHENG YU and FU-HSIN LAI Department of Mechanical Engineering National Taiwan University of Science and Technology

More information