Soft X - Ray Optics: Fundamentals and Applications

Size: px
Start display at page:

Download "Soft X - Ray Optics: Fundamentals and Applications"

Transcription

1 Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1

2 The Short Wavelength Region of the Electromagnetic Spectrum (1.1) 2

3 Available Optical Techniques for Soft X-Rays and EUV 3

4 Scattering and Refractive Index 4

5 Glancing Incidence Optics 5

6 A High Quality Mo/Si Multilayer Mirror N = 40 d = 6.7 Courtesy of Sasa Bajt (LLNL) ˇ 6

7 Multilayer Mirrors Satisfy the Bragg Condition 7

8 High Reflectivity, Thermally and Environmentally Robust Multilayers Coatings for High Throughput EUV Lithography 8

9 Recent Progress in Multilayer Mirrors Peak reflectance (%) Near-Normal Incidence Multilayer Mirrors Sc Ti V C H 2 O window Si Au Wavelength (nm) 9

10 Extreme Ultraviolet Telescope 10

11 EUV Image of the Solar Corona Showing Loops Near the Solar Limb Courtesy of L. Golub, Harvard-Smithsonian and T. Barbee, LLNL. 11 λ = 17.3 nm (71.7 ev)

12 Fluorescent Microprobe Based on Crossed Cylinders 12

13 Diffractive Optics for Soft X-Rays and EUV Zone Plates Gratings Pinholes 13

14 A Fresnel Zone Plate Lens 14

15 A Fresnel Zone Plate Lens Used as a Diffractive Lens for Point to Point Imaging 15

16 Zone Plates for Soft X-Ray Image Formation 16

17 A Fresnel Zone Plate Lens Used for X-Ray Microscopy Courtesy of E. Anderson (LBNL) 17

18 Soft X-Ray Microscopy at the ALS E. Anderson, LBNL 18

19 High Resolution Zone-Plate Microscope XM-1 at the ALS Well engineered Sample indexing Tiling for larger field of view Pre-focused High sample throughput Illumination important Phase contrast 19

20 Applications of Soft X-Ray Microscopy Magnetic Recording Materials Cryo Microscopy for the Life Sciences Cell border 100 nm lines & spaces Nucleoli Cell border 1 µm Nucleus Fe L ev FeTbCo Multilayer with Al Capping Layer Cryo X-Ray Microscopy of 3T3 Fibroblast Cells Protein Labeled Microtubule Network Courtesy of P. Fischer (Max Planck) and G. Denbeaux (CXRO/LBNL) Courtesy of C. Larabell (UCSF) and W. Meyer-Ilse (CXRO/LBNL) 20

21 Magnetic Domains Imaged at Different Photons Energies 1 µm FeGd Multilayer Contrast reversal hω = 704 ev below Fe L-edges hω = ev Fe L 3 -edge hω = ev Fe L 2 -edge P. Fischer, T. Eimueller, M. Koehler (U. Wuerzberg) S. Tsunashima (U. Nagoya) and N. Tagaki (Sanyo) G. Denbeaux, L. Johnson, A. Pearson (CXRO-LBNL) 21

22 Bio-Nanotomography for 3D Imaging of Cells Nanotomography of Cryogenic Fixed Cells Soft X-Ray Nanotomography of a Yeast Cell QuickTime and a decompressor are needed to see this picture. Courtesy of G. Schneider (BESSY) Surf. Rev. Lett. 9, 177 (2002) 22 λ = 2.5 nm Courtesy of C. Larabell (UCSF & LBNL) and M. LeGros (LBNL)

23 Bio-Nanotomography for 3D Imaging of Cells Nanotomography of Cryogenic Fixed Cells Soft X-Ray Nanotomography of a Yeast Cell QuickTime and a decompressor are needed to see this picture. C. Larabell and M. LeGros, Molec. Bio. Cell 15, 957 (2004) λ = 2.5 nm 23

24 Extreme Ultraviolet (EUV) Lithography Based on Multilayer Coated Optics 24

25 The Engineering Test Stand (ETS): A Pre-Manufacturing EUV Stepper Mask stage Projection optics Wafer stage Collection optic EUV Plasma source Condenser optics 25

26 ETS Optics Meet Tight Specifications Condenser optic Projection optic Courtesy of D. Sweeney (LLNL) 26

27 A 0.30 NA Micro-Exposure Tool (MET) has been Fabricated by Zeiss and LLNL Mask Illumination MET NA = nm 5X 200 X 600 µm field Fold Flat Secondary Button Bipod 35 nm Primary Wafer Bipods Courtesy of J. Taylor (LLNL) Courtesy of Patrick Naulleau (LBNL) 27

28 Intel EUV Intel MET Installation EUV MET Installation 16 crates 17+ tons 15 pumps All for.... Jeanette Roberts 28 SPIE March 1, 2005

29 International Technology Roadmap for Semiconductors* 29

30 Possible Compact Sources for Soft X-Ray Microscopy and EUV Metrologies Laser produced plasmas [Berglund et al., J.Microscopy 197, 268 (2000)] Electrical discharge plasmas ( EUV lasers [Vaschenko et al., Opt. Lett. 30, 2095 (2005)] EUV High Harmonic Generation [Kapteyn et al., Phys. Today 58 (March 2005)] 30

31 Lectures Available Over the Web Free UC Berkeley Webcast AST 210 / EECS 213 (offered Fall 2005, starts Aug. 30, 2 pm PDT, live over internet plus archived) 31

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic spectrum

More information

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Zone Plate Microscopy

Zone Plate Microscopy Zone Plate Microscopy David Attwood University of California, Berkeley (http://www.coe.berkeley.edu/ast/srms) Zone Plate Microscopy and Applications, EE290F, 12 April 2007 Zone Plates for Soft X-Ray Image

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Soft and Hard X-Ray Microscopy

Soft and Hard X-Ray Microscopy Soft and Hard X-Ray Microscopy David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Multilayer optics for next-generation EUVL systems

Multilayer optics for next-generation EUVL systems Multilayer optics for next-generation EUVL systems Regina Soufli regina.soufli@llnl.gov Lawrence Livermore National Laboratory 2009 International Workshop on EUV Lithography, Honolulu, Oahu July 16, 2009

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Laboratory-Based Cryogenic Soft X-ray Tomography and Correlative Microscopy: 3D Visualization Inside the Cell

Laboratory-Based Cryogenic Soft X-ray Tomography and Correlative Microscopy: 3D Visualization Inside the Cell Laboratory-Based Cryogenic Soft X-ray Tomography and Correlative Microscopy: 3D Visualization Inside the Cell David Carlson, Jeff Gelb, Vadim Palshin and James Evans Pacific Northwest National Laboratory

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

High-Harmonic Generation II

High-Harmonic Generation II Soft X-Rays and Extreme Ultraviolet Radiation High-Harmonic Generation II Phasematching techniques Attosecond pulse generation Applications Specialized optics for HHG sources Dr. Yanwei Liu, University

More information

X-Ray Nanoimaging: Instruments And Methods II (Proceedings Of SPIE)

X-Ray Nanoimaging: Instruments And Methods II (Proceedings Of SPIE) X-Ray Nanoimaging: Instruments And Methods II (Proceedings Of SPIE) If you are searched for a book X-Ray Nanoimaging: Instruments and Methods II (Proceedings of SPIE) in pdf form, then you've come to the

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index David Attwood University of California, Berkeley (http://www.coe.berkeley.edu/ast/srms) Scattering

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools 1. Introduction Solid Surfaces Analysis Group, Institute of Physics, Chemnitz University of Technology, Germany 2. Limitations of Conventional Optical Microscopy 3. Electron Microscopies Transmission Electron

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Nanomaterials and their Optical Applications

Nanomaterials and their Optical Applications Nanomaterials and their Optical Applications Winter Semester 2013 Lecture 02 rachel.grange@uni-jena.de http://www.iap.uni-jena.de/multiphoton Lecture 2: outline 2 Introduction to Nanophotonics Theoretical

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Diffraction Imaging with Coherent X-rays

Diffraction Imaging with Coherent X-rays Diffraction Imaging with Coherent X-rays John Miao Stanford Synchrotron Radiation Laboratory Stanford Linear Accelerator Center The Phase Problem: A Coherence Effect Detector Coherent X-rays Atoms The

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

Homework 1. Nano Optics, Fall Semester 2018 Photonics Laboratory, ETH Zürich

Homework 1. Nano Optics, Fall Semester 2018 Photonics Laboratory, ETH Zürich Homework 1 Contact: mfrimmer@ethz.ch Due date: Friday 12 October 2018; 10:00 a.m. Nano Optics, Fall Semester 2018 Photonics Laboratory, ETH Zürich www.photonics.ethz.ch The goal of this homework is to

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Alex I. Ershov, G.G. Padmabandu, Jeremy Tyler, Palash P. Das Cymer, Inc. 16750 Via Del Campo Court, San Diego, CA 92127

More information

Optical Spectroscopy of Advanced Materials

Optical Spectroscopy of Advanced Materials Phys 590B Condensed Matter Physics: Experimental Methods Optical Spectroscopy of Advanced Materials Basic optics, nonlinear and ultrafast optics Jigang Wang Department of Physics, Iowa State University

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Light Interaction with Small Structures

Light Interaction with Small Structures Light Interaction with Small Structures Molecules Light scattering due to harmonically driven dipole oscillator Nanoparticles Insulators Rayleigh Scattering (blue sky) Semiconductors...Resonance absorption

More information

Super-Diffraction Limited Wide Field Imaging and Microfabrication Based on Plasmonics

Super-Diffraction Limited Wide Field Imaging and Microfabrication Based on Plasmonics Super-Diffraction Limited Wide Field Imaging and Microfabrication Based on Plasmonics Peter T. C. So, Yang-Hyo Kim, Euiheon Chung, Wai Teng Tang, Xihua Wang, Erramilli Shyamsunder, Colin J. R. Sheppard

More information

Multiphoton Imaging and Spectroscopy in Cell and Tissue Biophysics. J Moger and C P Winlove

Multiphoton Imaging and Spectroscopy in Cell and Tissue Biophysics. J Moger and C P Winlove Multiphoton Imaging and Spectroscopy in Cell and Tissue Biophysics J Moger and C P Winlove Relating Structure to Function Biochemistry Raman microspectrometry Surface enhanced Raman spectrometry (SERS)

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

272 Nuclear Instruments and Methods m Physics Research A291 (1990) North-Holland

272 Nuclear Instruments and Methods m Physics Research A291 (1990) North-Holland 272 Nuclear Instruments and Methods m Physics Research A291 (1990) 272-277 North-Holland MULTILAYER OPTICAL ELEMENTS FOR GENERATION AND ANALYSIS OF CIRCULARLY POLARIZED X-RAYS J B KORTRIGHT and JH UNDERWOOD

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Introduction to Synchrotron Radiation and Beamlines

Introduction to Synchrotron Radiation and Beamlines Introduction to Synchrotron Radiation and Beamlines David Attwood University of California, Berkeley http://ast.coe.berkeley.edu/sxr2009 http://ast.coe.berkeley.edu/srms 1 The short wavelength region of

More information

At-wavelength figure metrology of hard x-ray focusing mirrors

At-wavelength figure metrology of hard x-ray focusing mirrors REVIEW OF SCIENTIFIC INSTRUMENTS 77, 063712 2006 At-wavelength figure metrology of hard x-ray focusing mirrors Hirokatsu Yumoto, a Hidekazu Mimura, Satoshi Matsuyama, Soichiro Handa, and Yasuhisa Sano

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

object objective lens eyepiece lens

object objective lens eyepiece lens Advancing Physics G495 June 2015 SET #1 ANSWERS Field and Particle Pictures Seeing with electrons The compound optical microscope Q1. Before attempting this question it may be helpful to review ray diagram

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Energetiq Soft X-Ray Light Source. EUV Litho 2011 Debbie Gustafson, Matt Partlow, Paul Blackborow, Steve Horne, Matt Besen, Don Smith

Energetiq Soft X-Ray Light Source. EUV Litho 2011 Debbie Gustafson, Matt Partlow, Paul Blackborow, Steve Horne, Matt Besen, Don Smith Energetiq Soft X-Ray Light Source Debbie Gustafson, Matt Partlow, Paul Blackborow, Steve Horne, Matt Besen, Don Smith Outline History and background Motivation Recent published results Source development

More information

PRINCIPLES OF PHYSICAL OPTICS

PRINCIPLES OF PHYSICAL OPTICS PRINCIPLES OF PHYSICAL OPTICS C. A. Bennett University of North Carolina At Asheville WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION CONTENTS Preface 1 The Physics of Waves 1 1.1 Introduction

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich 1, P. Ross 1, J. Koch 1, A. MacPhee 2, O. Landen 2, D. Bradley 2, P. Bell 2, S. Nagel 2, T. Hilsabeck 4, N. Chen 5, S.

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Solar UV Spectroscopy and Coronagraphy

Solar UV Spectroscopy and Coronagraphy Solar UV Spectroscopy and Coronagraphy Werner Curdt Outline motivation the Sun s electromagnetic spectrum spectroscopic methods observational examples instrumental aspects optical design detectors others

More information

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range 2 nd Swedish-German Workshop on X-Ray Optics HZB Berlin-Adlershof, 28-30 April 2015 Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range K. Mann J.O. Dette, J. Holburg, F.

More information

Lecture 9: Introduction to Diffraction of Light

Lecture 9: Introduction to Diffraction of Light Lecture 9: Introduction to Diffraction of Light Lecture aims to explain: 1. Diffraction of waves in everyday life and applications 2. Interference of two one dimensional electromagnetic waves 3. Typical

More information

Kirkpatrick Baez X ray optics for astrophysics: Recent status

Kirkpatrick Baez X ray optics for astrophysics: Recent status Contrib. Astron. Obs. Skalnaté Pleso 8, 37 5, (18) Kirkpatrick Baez X ray optics for astrophysics: Recent status R. Hudec 1,, L. Pina 3, V. Marsikova 1, O. Nentvich 1, M. Urban 1 and A. Inneman 1 1 Czech

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation. Problem Solving picture θ f = 10 m s =1 cm equation rearrange numbers with units θ factors to change units s θ = = f sinθ fθ = s / cm 10 m f 1 m 100 cm check dimensions 1 3 π 180 radians = 10 60 arcmin

More information

Femtosecond time-delay holography Henry Chapman Centre for Free-Electron Laser Science - DESY Lawrence Livermore National Laboratory

Femtosecond time-delay holography Henry Chapman Centre for Free-Electron Laser Science - DESY Lawrence Livermore National Laboratory Femtosecond time-delay holography Henry Chapman Centre for Free-Electron Laser Science - DESY Lawrence Livermore National Laboratory Henry.Chapman@desy.de Isaac Newton Opticks 1704 Newton was the first

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Simultaneous Temperature and Strain Sensing for Cryogenic Applications Using Dual-Wavelength Fiber Bragg Gratings

Simultaneous Temperature and Strain Sensing for Cryogenic Applications Using Dual-Wavelength Fiber Bragg Gratings Simultaneous Temperature and Strain Sensing for Cryogenic Applications Using Dual-Wavelength Fiber Bragg Gratings Meng-Chou Wu *, William H. Prosser NASA, Langley Research Center, MS 231, Hampton, VA,

More information

B.Tech. First Semester Examination Physics-1 (PHY-101F)

B.Tech. First Semester Examination Physics-1 (PHY-101F) B.Tech. First Semester Examination Physics-1 (PHY-101F) Note : Attempt FIVE questions in all taking least two questions from each Part. All questions carry equal marks Part-A Q. 1. (a) What are Newton's

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Raman spectroscopy study of rotated double-layer graphene: misorientation angle dependence of electronic structure

Raman spectroscopy study of rotated double-layer graphene: misorientation angle dependence of electronic structure Supplementary Material for Raman spectroscopy study of rotated double-layer graphene: misorientation angle dependence of electronic structure Kwanpyo Kim 1,2,3, Sinisa Coh 1,3, Liang Z. Tan 1,3, William

More information

The Electromagnetic Properties of Materials

The Electromagnetic Properties of Materials The Electromagnetic Properties of Materials Electrical conduction Metals Semiconductors Insulators (dielectrics) Superconductors Magnetic materials Ferromagnetic materials Others Photonic Materials (optical)

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

(i.e. what you should be able to answer at end of lecture)

(i.e. what you should be able to answer at end of lecture) Today s Announcements 1. Test given back next Wednesday 2. HW assigned next Wednesday. 3. Next Monday 1 st discussion about Individual Projects. Today s take-home lessons (i.e. what you should be able

More information

Why Go To Space? Leon Golub, SAO BACC, 27 March 2006

Why Go To Space? Leon Golub, SAO BACC, 27 March 2006 Why Go To Space? Leon Golub, SAO BACC, 27 March 2006 Solar Observation Observation of the Sun has a long and distinguished history Especially important as calendar where e.g. seasonal monsoons produced

More information

Space weather. Introduction to lectures by Dr John S. Reid. Image courtesy:

Space weather. Introduction to lectures by Dr John S. Reid. Image courtesy: Space weather Introduction to lectures by Dr John S. Reid Image courtesy: http://www.astro-photography.com/ss9393.htm Sunspot 9393 First pass from late March to early April, 2001 See: Storms from the Sun

More information

A Compact Soft X-ray Microscope Based on a Laser-Plasma Source

A Compact Soft X-ray Microscope Based on a Laser-Plasma Source A Compact Soft X-ray Microscope Based on a Laser-Plasma Source Magnus Berglund Doctoral Thesis Department of Physics Royal Institute of Technology SE-100 44 Stockholm August, 1999 TRITA-FYS 4102 ISSN 0280

More information

Lecture 11: Introduction to diffraction of light

Lecture 11: Introduction to diffraction of light Lecture 11: Introduction to diffraction of light Diffraction of waves in everyday life and applications Diffraction in everyday life Diffraction in applications Spectroscopy: physics, chemistry, medicine,

More information

Question 1. (Marks 16)

Question 1. (Marks 16) 5 Question 1. (Marks 16) Consider the circuit shown in the figure, where C 1 = 6.00µF, C 2 = 3.00µF, and V = 20.0V. Capacitor C 1 is first charged by closing switch S 1. Switch S 1 is then opened, and

More information

Massachusetts Institute of Technology. Dr. Nilanjan Chatterjee

Massachusetts Institute of Technology. Dr. Nilanjan Chatterjee Massachusetts Institute of Technology Dr. Nilanjan Chatterjee Electron Probe Micro-Analysis (EPMA) Imaging and micrometer-scale chemical compositional analysis of solids Signals produced in The Electron

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Lecture 3: Optical Properties of Insulators, Semiconductors, and Metals. 5 nm

Lecture 3: Optical Properties of Insulators, Semiconductors, and Metals. 5 nm Metals Lecture 3: Optical Properties of Insulators, Semiconductors, and Metals 5 nm Course Info Next Week (Sept. 5 and 7) no classes First H/W is due Sept. 1 The Previous Lecture Origin frequency dependence

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters Disclaimer: Chapter 29 Alternating-Current Circuits (1) This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters 29-33. LC circuit: Energy stored LC

More information