Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Size: px
Start display at page:

Download "Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application"

Transcription

1 Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser pulse), H. Nishimura, K. Nishimura, N. Miyanaga, Y. Izawa, K. Mima Y. Shimada*, A. Sunahara* Institute of Laser Engineering, Osaka University *Institute for Laser Technology Presented at International Congress on Plasma Physics This work is performed under the auspices of Leading Project promoted by MEXT (Japanese Ministry of Education, Culture, Sports, Science and Technology).

2 Smaller circuit pattern can be fabricated by photolithography with shorter wavelength light. Penryn processor, Minimum process size, wavelength of light (nm) Contact illumination 1:1 projection lithography g line i line Moore s law x 0.7/3 yr. Reduction projection weak super resolution strong super resolution KrF ArF F 2 EUV 13.5 nm after Intel website Year

3 All lithography optics consist of Mo/Si multilayer mirrors, which has the highest reflectivity (~ 70%) at 13.5 nm. Illumination optics Pattern mask Laser system Target supply Plasma Projection optics 28-nm hp AR = 2.07 EUV collector EUV light source system Resist + Wafer 28- -nm hp

4 High power, clean, and narrow-band EUV light source is required for the EUV lithography. Joint Requirement for EUV light source Wavelength 13.5nm 2%-bandwidth Target supply EUV Power Etendue Repetition < 3.3 mm 2 sr > 7 khz Laser Source plasma Power stability (+/- 0.3% 3σ, 50 pulses average) Life time > 30,000 hrs Spectral purity nm: < 3-7 % > 400 nm: < 0.3-3% EUV collection mirror IF point The solution to satisfy these criteria is the minimum mass fuel.

5 Summary Minimum mass of EUV fuel is a key scheme to produce a practical EUV light source system. Minimum-mass of Sn fuel Minimum number of Sn atoms required for sufficient EUV generation is only ~ atoms/pulse. Debris and Out-of-band radiation Dominant source of debris and out-of-band light is fuel in outside of the laser spot. Minimum mass fuel is a solution to reduce debris generation and suppress out-of-band radiation. Supply of minimum mass fuel Pure-Sn microdroplet is a practical minimum mass target. 4% of EUV conversion efficiency was attained with microdroplet irradiated by two-color laser pulse.

6 Optimal EUV light source is optically thin plasma, which can be produced with long-wavelength and short-duration laser pulse. Opacity of tin plasma EUV-CE for various laser conditions Transmission Wavelength (nm) Experiment (raw) Experiment (smooth) Simulation (Te = 20.9 ev) Simulation (Te = 31.0 ev) Simulation (Te = 40.3 ev) Cold opacity (Te = 0 ev) Conversion efficiency (%) Laser intensity (W/cm 2 ) et al., Phys. Rev. Lett., Vol. 95, p (2005). T. Ando, et al., Appl. Phys. Lett., Vol. 89, p (2006). CO2 laser (10.6 μm wavelength) is a practical driver. 1.2 ns pulse duration 2.3 ns pulse duration 5.6 ns pulse duration 8.5 ns pulse duration

7 Sn atoms located in 20 nm thickness layer dominantly emits EUV light x10 15 Conversion efficiency (%) nm Tin Dot Glass plate τ L = 2 ns I L = 1 x W/cm λ L = µm Sn layer thickness (nm) et al., Appl. Phys. Lett. Vol. 87, p (2005). S. Namba, et al., Appl. Phys. Lett. Vol. 88, p (2006). M. Shimomura, et al., Appl. Phys. Express, Vol. 1, p (2008). Number of Sn atoms contained in a 20 nm thickness dot is 1.5 x 10 14, which is equal of number contained in 20 µmφ pure-tin droplet. Tin Number of neutral debris (atom)

8 Dominant source of the neutral debris is periphery of the laser spot. After 1 µs After 2 µs After 20 µs Bulk Plasma Neutral Sn target Thin foil 100 nm Plasma Neutral CH target LASER Plasma Thin dot 43 nm Dye LASER 5 mm SiO 2 target M. Shimomura, et al., Appl. Phys. Express, Vol. 1, p (2008).

9 Periphery of the laser spot is the dominant source of the OOB radiation. One-dimensional spatially resolved vacuum ultraviolet (VUV) spectrograph Sn plate (0.1 µm-thickness) Sn sphere (0.1 µm-thickness) 5 mm Wavelength (nm) H. Sakaguchi, et al., Appl. Phys. Lett., Vol. 92, p (2008). S. Namba, et al., J. Appl. Phys., Vol. 104, p (2008).

10 1. Minimum mass fuel contained within laser spot is a solution to reduce debris and suppress out-ofband radiation. 2. Pure-tin microdroplet (20 µm in diameter) is a practical minimum mass target. 3. Double pulse is proposed for resolving considerable mismatch between the microdroplet diameter (20 µm) and the optimal laser spot size (300 µm). 4. Double pulse irradiation enlarges density scale length of plasma and enhances laser absorption. This results in enhancing EUV conversion efficiency.

11 Diameter of the minimum-mass droplet is too small (20 µm) to be irradiated by the optimal laser spot size (295 µm). 20 µmφ Sn drop (1.5 x atoms) Pre-pulse Main pulse 0.3 J / 1 x W/cm µmφ expanded Sn drop 11 mj@13.5 nm 2%BW The droplet must be expanded prior to the main laser irradiation.

12 Young modulus of solid Sn is 50 GPa. Several GPa of pressure is necessary to deform Sn droplet. Wave velocity (km/s) Wave velocity vs. laser intensity P a = 2.5 x 10-7 I L 2/3 Criteria for shock formation Measurement Scaling curve Laser intensity (W/cm 2 )

13 Expansion behavior of prepulse irradiated Sn droplets was observed using a laser-shadowgraph technique. CCD camera Imaging lens φ36 µm Sn drop Beam expander Probe laser Nd:YAG Prepulse laser Nd: YAG Glass stalk φ6 μm carbon fiber φ36 µm Sn drop

14 To expand the microdroplet, the intensity of the Nd:YAG laser is required at least 3 x W/cm 2. Side-on shadowgraph of expanded droplet at 500 ns 5.0 x W/cm x W/cm x W/cm x W/cm µm LASER 300 µm 300 µm 300 µm (a) (b) (c) (d) Carbon fiber only (e) Transmission

15 The expanded Sn droplet was irradiated with a CO 2 laser pulse. The incident angle of the prepulse was 20 deg. EUV microscope Prepulse laser Calorimeter E-mon Nd:YAG λ =1.064 µm Spot size : φ50 µm Intensity : W/cm 2 Pulse duration : 9 ns Spectrometer Main pulse laser CO 2 λ = 10.6 µm Spot diameter : φ250 µm Pulse duration : ns

16 The highest EUV-CE of 4% was obtained for a delay of 1 µs. The EUV emission region located in low-density region. Conversion efficiency (%) Dependance of EUV-CE on delay Double pulse + droplet Single pulse + plane Delay between main- and pre-pulse (µs) Visible shadow LASER 300 m 13.5 nm emission image Transmission et al., Appl. Phys. Lett., Vol. 92, p (2008).

17 Feasibility of continuous supply of 20 µm droplet has been demonstrated presented by Dr. Endo (EUVA) in EUVL Symposium 2007.

18 180 EUV light source can be designed with 50 khz-13.4 kw CO 2 laser + 50 khz-0.63 kw Nd:YAG laser Required EUV power 545 W@source (180 W@IF*) Drop diameter 20 µmφ = 1.6 x Sn atoms Repetition 50 khz Main laser (CO 2 λ L = 10.6 µm) Intensity 1 x W/cm 2 Pulse duration 40 ns Spot size 292 µmφ Pulse energy 268 mj EUV conversion efficiency 4.0% Prepulse laser (Nd:YAG λ L = 1.06 µm) Intensity 4 x W/cm 2 Pulse duration 10 ns Spot size 20 µmφ Pulse energy 13 mj EUV conversion efficiency 1.5 % Total EUV conversion efficiency 3.9 % EUV pulse energy 10.9 mj/pulse Total Laser power 14 kw = 13.4 kw; CO kw; YAG *Assumption: collection angle 5 str. (Collection efficiency 80%), collector reflectivity 70%, debris mitigation transmission 100%, gas transmission 85%, SPF transmission 70%, etendue match 100%, Effective collection capability 33 %

19 EUV light source plasma (545 W) Tin- icrodroplet (20 μmφ) Main pulse (CO2 laser pulse) IL = 1 x W/cm 2 Pre-pulse (Nd:YAG laser pulse) IL = 4 x W/cm 2

20 Simulation predicts higher EUV-CE (5 7%) for shorter duration of laser pulse. YAG + 10 ns-co2 pulse Single 10 ns-co2 pulse K. Nishihara et al., Phys. Plasmas, Vol. 15, p (2008).

21 Conclusion Pure-tin microdroplet irradiated by two-color double pulse (Nd:YAG for prepulse and CO2 for main pulse) was demonstrated to generate clean and efficient EUV light source. 4% of EUV conversion efficiency was attained with using a practical EUV source generation scheme.

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Physics Physics fields Okayama University Year 2005 Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Shinsuke Fujioka, Osaka University Hiroaki Nishimura, Osaka University

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application )

Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application ) Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application ) Shinsuke FUJIOKA, Hiroaki NISHIMURA, Katsunobu NISHIHARA, Noriaki MIYANAGA, Yasukazu IZAWA, Kunioki

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Institute for Laser Technology

Institute for Laser Technology Shinsuke Fujioka, Teruyuki Ugomori, Kensuke Yoshida, Chaogang Li, Atsushi Sunahara A, Katsunobu Nishihara, Nozomi Tanaka, Hiroaki Nishimura Institute of Laser Engineering, Osaka University A Institute

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

EXTREME ULTRAVIOLET (EUV) lithography (EUVL)

EXTREME ULTRAVIOLET (EUV) lithography (EUVL) 714 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 38, NO. 4, APRIL 2010 Interaction of a CO 2 Laser Pulse With Tin-Based Plasma for an Extreme Ultraviolet Lithography Source Yezheng Tao, Mark S. Tillack, Sam

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source Appl. Phys. B 92, 73 77 (2008) DOI: 10.1007/s00340-008-3068-5 Applied Physics B Lasers and Optics a. takahashi 1, d. nakamura 2 k. tamaru 2 t. akiyama 2 t. okada 2 Emission characteristics of debris from

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Consequences of high-frequency operation on EUV source efficiency

Consequences of high-frequency operation on EUV source efficiency Consequences of high-frequency operation on EUV source efficiency Tatyana Sizyuk Center for Materials under Extreme Environment (CMUXE), School of Nuclear Engineering Purdue University, West Lafayette,

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China Research of the EUV radiation and CO 2 Laser produced tin plasma Wang Xinbing 1 *, Zuo DouLuo 1, Lu Peixiang 2, Wu Tao 3 1 Wuhan National Laboratory for Optoelectronics, Huazhong University of Science

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Development of Polarization Interferometer Based on Fourier Transform Spectroscopy for Thomson Scattering Diagnostics

Development of Polarization Interferometer Based on Fourier Transform Spectroscopy for Thomson Scattering Diagnostics 16th International Toki Conference Advanced Imaging and Plasma Diagnostics Ceratopia Toki, Gifu, JAPAN December 5-8, 2006 Development of Polarization Interferometer Based on Fourier Transform Spectroscopy

More information

Laser matter interaction

Laser matter interaction Laser matter interaction PH413 Lasers & Photonics Lecture 26 Why study laser matter interaction? Fundamental physics Chemical analysis Material processing Biomedical applications Deposition of novel structures

More information

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source C. Blome, K. Sokolowski-Tinten *, C. Dietrich, A. Tarasevitch, D. von der Linde Inst. for Laser- and

More information

Assessment of Threshold for Nonlinear Effects in Ibsen Transmission Gratings

Assessment of Threshold for Nonlinear Effects in Ibsen Transmission Gratings Assessment of Threshold for Nonlinear Effects in Ibsen Transmission Gratings Temple University 13th & Norris Street Philadelphia, PA 19122 T: 1-215-204-1052 contact: johanan@temple.edu http://www.temple.edu/capr/

More information

New Concept of DPSSL

New Concept of DPSSL New Concept of DPSSL - Tuning laser parameters by controlling temperature - Junji Kawanaka Contributors ILS/UEC Tokyo S. Tokita, T. Norimatsu, N. Miyanaga, Y. Izawa H. Nishioka, K. Ueda M. Fujita Institute

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas P. J. Skrodzki Acknowledgements This work is supported by the DOE/NNSA Office of Nonproliferation

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

X-ray photoelectron spectroscopy with a laser-plasma source

X-ray photoelectron spectroscopy with a laser-plasma source Proc. SPIE Vol.3157 (1997) pp.176-183 X-ray photoelectron spectroscopy with a laser-plasma source Toshihisa TOMIE a, Hiroyuki KONDO b, Hideaki SHIMIZU a, and Peixiang Lu a a Electrotechnical Laboratory,

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez EUV Source Workshop 29 May 2009 * This work

More information

ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION

ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION R. KODAMA, H. FUJITA, N. IZUMI, T. KANABE, Y. KATO*, Y. KITAGAWA, Y. SENTOKU, S. NAKAI, M. NAKATSUKA, T. NORIMATSU,

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

Behavior and Energy States of Photogenerated Charge Carriers

Behavior and Energy States of Photogenerated Charge Carriers S1 Behavior and Energy States of Photogenerated Charge Carriers on Pt- or CoOx-loaded LaTiO2N Photocatalysts: Time-resolved Visible to mid-ir Absorption Study Akira Yamakata, 1,2* Masayuki Kawaguchi, 1

More information

Time and space resolved spectroscopy of nanoenergetic materials Dana Dlott

Time and space resolved spectroscopy of nanoenergetic materials Dana Dlott Time and space resolved spectroscopy of nanoenergetic materials Dana Dlott Hyunung Yu Selezion A. Hambir School of Chemical Sciences and Fredrick Seitz Materials Research Laboratory University of Illinois

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Laser Dissociation of Protonated PAHs

Laser Dissociation of Protonated PAHs 100 Chapter 5 Laser Dissociation of Protonated PAHs 5.1 Experiments The photodissociation experiments were performed with protonated PAHs using different laser sources. The calculations from Chapter 3

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 100W EUV light-source key component technology update for HVM Tsukasa Hori, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe,

More information

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors Frank Ceballos 1, Ming-Gang Ju 2 Samuel D. Lane 1, Xiao Cheng Zeng 2 & Hui Zhao 1 1 Department of Physics and Astronomy,

More information

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Aneta S. Stodolna 1, Tiago de Faria Pinto 1, Faisal Ali 1, Alex Bayerle 1, Dmitry Kurilovich

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

Peculiarities of Modeling LPP Source at 6.X nm

Peculiarities of Modeling LPP Source at 6.X nm V.Novikov, V.Ivanov, K.Koshelev, V.Krivtsun, A.Grushin, R.Kildiyarova, A.Solomyannaya Peculiarities of Modeling LPP Source at 6.X nm Outline Theoretical base Optimal plasma parameters Band position Scaling

More information

PIC simulations of laser interactions with solid targets

PIC simulations of laser interactions with solid targets PIC simulations of laser interactions with solid targets J. Limpouch, O. Klimo Czech Technical University in Prague, Faculty of Nuclear Sciences and Physical Engineering, Břehová 7, Praha 1, Czech Republic

More information

Experimental Study on Light Flash Radiant Intensity Generated by Strong Shock 2A12 Aluminum Plate

Experimental Study on Light Flash Radiant Intensity Generated by Strong Shock 2A12 Aluminum Plate Experimental Study on Light Flash Radiant Intensity Generated by Strong Shock 2A12 Aluminum Plate TANG Enling ( ) 1, ZHANG Lijiao ( ) 1, ZHANG Qingming ( ) 2, SHI Xiaohan ( ) 1, WANG Meng ( ) 1, WANG Di

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

M Plan Apo. Long working distance objectives for bright field. DIMENSIONS *Mounting screws 26, thread 36 (see P.30.) SPECIFICATIONS FEATURES

M Plan Apo. Long working distance objectives for bright field. DIMENSIONS *Mounting screws 26, thread 36 (see P.30.) SPECIFICATIONS FEATURES Long working distance objectives for bright field M Plan Apo 1. A specimen with steps, which cannot be focused on with the conventional short working distance objectives, can be easily observed with the

More information

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Waseda University Research Institute for Science and Engineering Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Research Institute for Science

More information

Multi-Purpose Nonlinear Optical Microscope. Principle and its Applications to Polar Thin Film Observation

Multi-Purpose Nonlinear Optical Microscope. Principle and its Applications to Polar Thin Film Observation Multi-Purpose Nonlinear Optical Microscope. Principle and its Applications to Polar Thin Film Observation Y. Uesu, N. Kato Department of Physics, Waseda University 3 4 1 Okubo, Shinjuku-ku, Tokyo 169-8555,

More information

Controlling Graphene Ultrafast Hot Carrier Response from Metal-like. to Semiconductor-like by Electrostatic Gating

Controlling Graphene Ultrafast Hot Carrier Response from Metal-like. to Semiconductor-like by Electrostatic Gating Controlling Graphene Ultrafast Hot Carrier Response from Metal-like to Semiconductor-like by Electrostatic Gating S.-F. Shi, 1,2* T.-T. Tang, 1 B. Zeng, 1 L. Ju, 1 Q. Zhou, 1 A. Zettl, 1,2,3 F. Wang 1,2,3

More information

HYPER-RAYLEIGH SCATTERING AND SURFACE-ENHANCED RAMAN SCATTERING STUDIES OF PLATINUM NANOPARTICLE SUSPENSIONS

HYPER-RAYLEIGH SCATTERING AND SURFACE-ENHANCED RAMAN SCATTERING STUDIES OF PLATINUM NANOPARTICLE SUSPENSIONS www.arpapress.com/volumes/vol19issue1/ijrras_19_1_06.pdf HYPER-RAYLEIGH SCATTERING AND SURFACE-ENHANCED RAMAN SCATTERING STUDIES OF PLATINUM NANOPARTICLE SUSPENSIONS M. Eslamifar Physics Department, BehbahanKhatamAl-Anbia

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

Development of Target Injection and Tracking for IFE in Japan

Development of Target Injection and Tracking for IFE in Japan 1 IF/P7-26 Development of Target Injection and Tracking for IFE in Japan H. Yoshida 1), T. Endo 2), R. Tsuji 3), K. Saruta 3), T. Kassai 3), S. Sakae 2), H. Hayashi 2), T. Kitabatake 2) 1) Faculty of Engineering,

More information

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2 Appl. Phys. A 77, 217 221 (2003) DOI: 10.1007/s00339-003-2142-4 Applied Physics A Materials Science & Processing c. keyser 1, g. schriever 1, m. richardson 1, Studies of high-repetition-rate laser plasma

More information

High-Harmonic Generation II

High-Harmonic Generation II Soft X-Rays and Extreme Ultraviolet Radiation High-Harmonic Generation II Phasematching techniques Attosecond pulse generation Applications Specialized optics for HHG sources Dr. Yanwei Liu, University

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

DEVELOPMENT OF NANO PARTICLE SIZING SYSTEM USING FLUORESCENCE POLARIZATION

DEVELOPMENT OF NANO PARTICLE SIZING SYSTEM USING FLUORESCENCE POLARIZATION XX IMEKO World Congress Metrology for Green Growth September 9 14, 2012, Busan, Republic of Korea DEVELOPMENT OF NANO PARTICLE SIZING SYSTEM USING FLUORESCENCE POLARIZATION Terutake Hayashi, Masaki Michihata,

More information

Chapter 11 FUNDAMENTALS OF THERMAL RADIATION

Chapter 11 FUNDAMENTALS OF THERMAL RADIATION Chapter Chapter Fundamentals of Thermal Radiation FUNDAMENTALS OF THERMAL RADIATION Electromagnetic and Thermal Radiation -C Electromagnetic waves are caused by accelerated charges or changing electric

More information