Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Size: px
Start display at page:

Download "Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World"

Transcription

1 Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger Seitz, Roman Windpassinger, Axel Zibold Peter Kuerz*, Erik Sohmen* *Carl Zeiss SMT AG Litho Division : AIMS is a trademark of Carl Zeiss A Company of Carl Zeiss SMT AG: Enabling the Nano-Age World TM

2 AIMS TM = Aerial Image Measurement System What is is AIMS TM TM? 4An actinic actinicoptical technique to to emulate any any given given stepper or or scanner. What is is AIMS TM TM good for? for? 4 Actinic optical optical printability analysis of of reticle reticle defects, repairs repairs and and critical critical areas areas on on all all kinds kinds of of reticles without the the need need of of stepper prints. prints. AIMS TM TM Applications 4Mask development 4Defect review reviewand disposition 4Repair verification 4Mask quality quality analysis

3 Comparison Stepper vs. AIMS TM Stepper / Scanner AIMS TM EUV Large FOV Mask Small FOV σ * NA NA = 0,0625 σ * NA NA = 0,0625 LS Illumination System Wafer Imaging system M = 0,25 NA = 0,25 LS Illumination System Camera Imaging system M= NA = 0,000125

4 AIMS TM EUV design study AIMS TM EUV lite Collector Reticle: face-down Illumination system First Magnification EUV 5x Optics from Carl Zeiss SMT EUV Source Photocathode at intermediate image: EUV electrons CCD Second Magnification: Photo Electron Emission Microscope (PEEM) Magnification: 200x Resolution < 150nm

5 AIMS TM EUV lite: Key specifications Optics EUV Optics: 5x modified MET 2nd magnification: PEEM 200x variable Total magnification: 50x 1000x NA, fixed: Sigma: σ outer = , σ inner = 0.2 Source: Plasma Discharge Reticle stage Face down Option: Interferometer stage Carl Zeiss AIMS measurement and analysis software Recipe operation, up-date of inspection files Connectivity: link to all major inspection tools

6 AIMS EUV design study: AIMS TM performance Differences to current EUV Scanner Design Chief ray angle (CRA) Bandwidth AIMS-EUV lite 7.5 due to modified MET 3.5% due to 2 mirror optics Scanner α-tool 6 2% Impact Impact on on AIMS AIMS TM TM performance? 4Detection sensitivity of of nano-particles in in mask mask blanks? 4CD 4CD variation due due to to different CRA? CRA? SimulateAIMS TM TM EUV EUV performance for for different CRA CRA and and bandwidths

7 Simulation* results CD = CD AIMS EUV (7.5, 3.5%) - CD Stepper (6, 2%) CD (%) = 0 nm = 140 nm = 250 nm = 400 nm absorber (Cr) capping layer MoSi double layers Threshold Substrate (Si) CD < 3% at threshold > 0.2 Simulation Model Defect: h= 20nm, FWHM = 21nm Carl Carl Zeiss Zeiss AIMS AIMS TM TM EUV EUV lite litewith CRA CRA = and and 3.5% 3.5% bandwidth 4No No impact impact on on sensitivity sensitivity for for particle particle detection detection in in EUV EUV multilayers multilayersand and blanks blanks 4Systematic CD CD difference difference of of 3 % compensation compensation by by calibration calibration * Simulations made by P. Evanschitzky / A. Erdmann at Fraunhofer IISB Erlangen / Germany

8 Zeiss AIMSEUV lite lite 4All 4All key key components are are state-of-the art, art, available and and tested tested 4Short lead lead time time and and price price attractive tool tool 4Blank and and patterned EUV EUV mask mask development and and qualification 4Fits requirements for for and and nm nm node node

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks David Nijkerk, Norbert Koster, Eddy van Brug and Diederik Maas* TNO Science and Industry, Stieltjesweg

More information

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Van den Heuvel b a Carl Zeiss SMS GmbH - Carl-Zeiss-Promenade 10, 07745 Jena, / Industriestraße

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Status of multilayer coatings for EUV Lithography

Status of multilayer coatings for EUV Lithography Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) Robert Fader Fraunhofer Institute for Integrated Systems and Device Technology (IISB) Germany Ulrike Schömbs SUSS

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Overview European EUVL programme

Overview European EUVL programme Rob Hartman Overview European EUVL programme Rob Hartman 3 rd International EUVL Symposium Miyazaki, 3 November, 2004 Rob Hartman Agenda When EUV? European programme in risk areas Source Tool & Optics

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication Florian Letzkus *a, Joerg Butschke a, Corinna Koepernik a, Christian Holfeld b, Josef Mathuni c, Lutz Aschke d, Frank Sobel d a

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers v b Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers S P Vernon, D R Kania, P A Kearney, R A Levesque Lawrence Livermore National

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools 1. Introduction Solid Surfaces Analysis Group, Institute of Physics, Chemnitz University of Technology, Germany 2. Limitations of Conventional Optical Microscopy 3. Electron Microscopies Transmission Electron

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Bringing mask repair to the next level

Bringing mask repair to the next level Bringing mask repair to the next level K. Edinger *, K. Wolff, H. Steigerwald, N. Auth, P. Spies, J. Oster, H. Schneider, M. Budach, T. Hofmann, M. Waiblinger Carl Zeiss SMS GmbH - Industriestraße 1, 64380

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives Performance Enhancement of 157 nm Newtonian Catadioptric Objectives James Webb, Timothy Rich, Anthony Phillips and Jim Cornell Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450, 585-377-3200

More information

Improved Diffraction Computation with a Hybrid C-RCWA-Method

Improved Diffraction Computation with a Hybrid C-RCWA-Method Improved Diffraction Computation with a Hybrid C-RCWA-Method Joerg Bischoff, Timbre Technologies, INC. A TEL Company, 2953 Bunker Hill Lane #301, Santa Clara, California 95054, USA and Tokyo Electron Germany/

More information

Single Pass Die to Database Tritone Reticle Inspection Capability

Single Pass Die to Database Tritone Reticle Inspection Capability Single Pass Die to Database Tritone Reticle Inspection Capability Bryan Reese, KLA-Tencor Corporation, Austin, Texas, USA Jan Heumann, AMTC, Dresden, Germany Norbert Schmidt, KLA-Tencor Corporation, Dresden,

More information

RS-C Flexible Reticle Stocker

RS-C Flexible Reticle Stocker RS-C Flexible Reticle Stocker CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time Full Flexibility The RS-C is a fully

More information

Aerial image based lens metrology for wafer steppers

Aerial image based lens metrology for wafer steppers Aerial image based lens metrology for wafer steppers P. Dirksen*, J.J.M. Braat**, A.J.E.M. Janssen*, T. Matsuyama***, T. Noda*** *Philips Research Europe, Belgium **Delft University of Technology, The

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

Evaluation of Mo/Si multilayer for EUVL mask blank

Evaluation of Mo/Si multilayer for EUVL mask blank Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

* AIT-4: Aberrations. Copyright 2006, Regents of University of California Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-: LER and Chemically Amplified Resists

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

EUV-collector mirrors for high-power LPP sources

EUV-collector mirrors for high-power LPP sources EUV-collector mirrors for high-power LPP sources EUV Source Workshop Torsten Feigl, Sergiy Yulin, Nicolas Benoit, Norbert Kaiser Fraunhofer IOF Jena Norbert Böwering, Oleh Khodykin, David Brandt Cymer,

More information

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. May 2013 Volume 29, Issue 5 Invited Paper - AL13 Mask effects for high-na EUV: impact of

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

ZEISS Riflescopes Reticles and Subtensions, November 2015

ZEISS Riflescopes Reticles and Subtensions, November 2015 ZEISS Riflescopes Reticles and Subtensions, November 2015 2. Overview 3. VICTORY V8 No. 60 4. VICTORY V8 No. 43 (Mildot) 5. VICTORY HT No. 54 6. VICTORY HT No. 60 7. VICTORY HT No. 76 (Rapid Z5) 8. VICTORY

More information

The Zeeman Effect refers to the splitting of spectral

The Zeeman Effect refers to the splitting of spectral Calculation of the Bohr Magneton Using the Zeeman Effect Robert Welch Abstract The Zeeman Effect was predicted by Hendrik Lorentz and first observed by Pieter Zeeman in 1896. It refers to the splitting

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Heating Beam Pattern Optical Design CO2 Laser Thermal Compensation Bench

Heating Beam Pattern Optical Design CO2 Laser Thermal Compensation Bench LASER INTERFEROMETER GRAVITATIONAL WAVE OBSERVATORY LIGO Laboratory / LIGO Scientific Collaboration LIGO 4//4 Heating Beam Pattern Optical Design CO Laser Thermal Compensation Bench Michael Smith, David

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

MELOS 530 MEASURING EQUIPMENT FOR LENSES AND OPTICAL SYSTEMS. Combinations and Accessories. Indispensable for Quality Control of Optics

MELOS 530 MEASURING EQUIPMENT FOR LENSES AND OPTICAL SYSTEMS. Combinations and Accessories. Indispensable for Quality Control of Optics Combinations and Accessories Combinations/ Applications Combination 1 235 601 Combination 2 235 602 neg. focal length Combination 3 235 603 neg. focal length Combination 4 235 604 neg. focal length wedge

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

High Brightness EUV Light Source for Metrology

High Brightness EUV Light Source for Metrology High Brightness EUV Light Source for Metrology Sergey V. Zakharov, Peter Choi, Vasily S. Zakharov EPPRA sas NANO UV sas Panel Discussion: Actinic Defect Inspection Technology for EUV MasksM 3 Remaining

More information

Application Note. Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy

Application Note. Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference

More information

Optical characterization of highly inhomogeneous thin films

Optical characterization of highly inhomogeneous thin films Optical characterization of highly inhomogeneous thin films D.M. Rosu, A. Hertwig, P. Petrik, U. Beck Department 6.7 - Surface Modification and Measurement Technique BAM - Federal Institute for Materials

More information