EUV-collector mirrors for high-power LPP sources

Size: px
Start display at page:

Download "EUV-collector mirrors for high-power LPP sources"

Transcription

1 EUV-collector mirrors for high-power LPP sources EUV Source Workshop Torsten Feigl, Sergiy Yulin, Nicolas Benoit, Norbert Kaiser Fraunhofer IOF Jena Norbert Böwering, Oleh Khodykin, David Brandt Cymer, Inc. Vancouver, May 25, 2006

2 Content Motivation / Introduction High-temperature multilayer development Technical setup: Collector mirror coating EUV reflectivity measurements Summary

3 Content Motivation / Introduction High-temperature multilayer development Technical setup: Collector mirror coating EUV reflectivity measurements Summary

4 Collector lifetime challenges EUV-collector mirrors for high-power LPP sources EUV induced carbon growth and oxidation Sputtering of multilayers Thermal stability of multilayer Source material buildup on collector Source material diffusion into multilayer Deposition of source material contaminations Deposition of material sputtered from source hardware

5 Cymer s HVM EUV source concept EUV-collector mirrors for high-power LPP sources

6 Cymer s HVM EUV source concept EUV-collector mirrors for high-power LPP sources Collector mounting and heating First 320 mm collector in optical test setup

7 Content Motivation / Introduction High-temperature multilayer development Technical setup: Collector mirror coating EUV reflectivity measurements Summary

8 Mo/Si multilayers R = 68.8 % λ = 13.5 nm FWHM = 0.50 nm N = 60 R 20 % λ = nm FWHM = 2.33 nm N = 60 reflectivity periodic design broadband design narrowband design R = 14.6 % λ = 13.5 nm FWHM = nm N = 40 Measurements: PTB Berlin, BESSY II wavelength, nm

9 Interface - engineered multilayers EUV-collector mirrors for high-power LPP sources Ideal Real Interface Engineering A B A B A A A+B B A+B A A+B B A+B A B A B A C

10 Enhancement of reflectivity and stability in Mo/Si with C barriers R = 69.6 % λ = nm Mo C Si

11 High-temperature Mo/X/Si/X multilayer mirrors for λ = 13.5 nm T = 500 C [Si/X 2 /Mo/X 2 ] 60 +Si θ = 1.5 As-deposited τ = 1h τ = 10h τ = 100h Reflectivity, % C 100 h Wavelength, nm

12 High-temperature Mo/X/Si/X multilayer mirrors for λ = 13.5 nm 500 C 100 hours AFM of Mo/X/Si/X, as-deposited, rms-roughness: σ = 0.12 nm AFM of Mo/X/Si/X after 500 C / 100 h, rms-roughness: σ = 0.14 nm

13 Content Motivation / Introduction High-temperature multilayer development Technical setup: Collector mirror coating EUV reflectivity measurements Summary

14 NESSY New EUV Sputtering System EUV-collector mirrors for high-power LPP sources Design and realization of an EUV sputtering system Conception: magnetron sputtering (sputter down) of rotating, fast spinning substrates deposition of graded multilayers on curved substrates

15 NESSY New EUV Sputtering System EUV-collector mirrors for high-power LPP sources

16 NESSY specifications EUV-collector mirrors for high-power LPP sources Substrate size Substrate size limit Substrate stations Sputter sources Thickness homogeneity Base pressure Working pressure up to Ø 450 mm (load locked) Ø650 mm 2 stations for Ø 450 mm or 3 stations for Ø 300 mm 4 magnetrons, 600 mm x 125 mm +/- 0.1 % on 150 mm, +/- 0.2 % on 300 mm < 8*10-9 mbar < 7*10-4 mbar

17 Content Motivation / Introduction High-temperature multilayer development Technical setup: Collector mirror coating EUV reflectivity measurements Summary

18 Lateral multilayer gradient EUV-collector mirrors for high-power LPP sources 1,06 1,05 Multilayer gradient, ideal and experimental data. error bar: Δd/d = 0.74 % corresponding to (13.5 ± 0.1) nm Normalized multilayer period 1,04 1,03 1,02 1,01 1,00 0,99 0,98 ideal experiment Distance from substrate center, mm

19 Reflectance of high-temperature collector 50% 45% 40% Maximum reflectance of hightemperature collector mirror. Measurements: PTB Berlin maximum reflectance 35% 30% 25% 20% 15% 10% 5% 0% R max, 0 R max, 90 R max, 180 R max, 270 clear apert ure collector mirror radius, mm

20 Reflectance of high-temperature collector 45% 40% r = 40mm r = 50mm 35% r = 60mm 30% r = 70mm r = 80mm Measurement of reflectance along a line within clear reflectance 25% 20% 15% 10% r = 90mm r = 100mm r = 110mm r = 120mm r = 130mm aperture of collector mirror. 5% Measurements: PTB Berlin 0% wavelength, nm

21 Reflectance of high-temperature collector Reflectance curve of Si test sample Reflectance curve of SiC collector

22 Content Motivation / Introduction High-temperature multilayer development Technical setup: Collector mirror coating EUV reflectivity measurements Summary

23 Optical properties of high-temperature collector Peak reflectance of collector mirror: R max = 41 %, Peak reflectance of silicon test sample: R max = 54 %, Center wavelength of collector mirror: λ = (13.5 ± 0.1) nm, FWHM > 0.45 nm.

24 Optical properties of high-temperature collector Proof of high-temperature collector mirror concept, First high-temperature multilayer coating of LPP source collector mirror realized, Mirror diameter: 320 mm - largest multilayer collector mirror coated to date, Thermal stability of high-temperature Mo/X/Si/X multilayer up to 600 C, First EUV light collected at Cymer s test stand.

25 Optical properties of high-temperature collector IOF project team Wieland Stöckl, Michael Scheler, Marcel Flemming, Hein Uhlig, Tino Benkenstein, Ronald Schmidt EUV measurements Frank Scholze, Christian Laubis, Christian Buchholz, Heike Wagner, Sven Plöger (PTB Berlin) TEM measurements Ute Kaiser (University Ulm)

26 Thank you for your EUV-collector attention! mirrors for high-power LPP sources

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF Solutions with light meet challenges and offer opportunities Fraunhofer IOF Multilayer coating for EUV collector mirrors 2011 International Workshop on EUV and Soft X- Ray Sources Hagen Pauer, Marco Perske,

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Status of multilayer coatings for EUV Lithography

Status of multilayer coatings for EUV Lithography Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

A neutron polariser based on magnetically remanent Fe/Si supermirrors

A neutron polariser based on magnetically remanent Fe/Si supermirrors Jochen Stahn Laboratorium für Neutronenstreuung ETH Zürich & Paul Scherrer Institut A neutron polariser based on magnetically remanent Fe/Si supermirrors ILL, Grenoble 8. 0. 2006 neutron optics group PSI:

More information

Design of multilayer X-ray mirrors and systems

Design of multilayer X-ray mirrors and systems Design of multilayer X-ray mirrors and systems T. Holz*, R. Dietsch*, S. Braun**, A. Leson** * AXO DRESDEN GmbH, Germany ** Fraunhofer IWS Dresden, Germany Introduction CHARACTERISTICS 1D periodicity of

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Damage to optics under irradiations with the intense EUV FEL pulses

Damage to optics under irradiations with the intense EUV FEL pulses Damage to optics under irradiations with the intense EUV FEL pulses Ryszard Sobierajski 1, Eric Louis 2 1 Institute of Physics PAS, 2 Universiteit Twente Damage to optics - motivation Properties of the

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities

Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities Advances in Optical Technologies Volume 2012, Article ID 976868, 8 pages doi:10.1155/2012/976868 Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Multilayer coating facility for the HEFT hard X-ray telescope

Multilayer coating facility for the HEFT hard X-ray telescope Multilayer coating facility for the HEFT hard X-ray telescope Carsten P. Jensen a, Finn E. Christensen a, Hubert Chen b, Erik B. W.Smitt a, Eric Ziegler c a Danish Space Research Institute (Denmark); b

More information

Testing X-ray Gratings for Polarization Sensitivity

Testing X-ray Gratings for Polarization Sensitivity 1 Testing X-ray Gratings for Polarization Sensitivity Hannah Marlowe, 1 In collaboration with: Randall McEntaffer, 1 Casey DeRoo, 1 Drew M. Miles, 1 James H. Tutt, 1 Christian Laubis, 2 Victor Soltwisch,

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Lobster-Eye Hard X-Ray Telescope Mirrors

Lobster-Eye Hard X-Ray Telescope Mirrors Lobster-Eye Hard X-Ray Telescope Mirrors Victor Grubsky, Michael Gertsenshteyn, Keith Shoemaker, Igor Mariyenko, and Tomasz Jannson Physical Optics Corporation, Torrance, CA Mirror Technology Days 007

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Multilayer optics for next-generation EUVL systems

Multilayer optics for next-generation EUVL systems Multilayer optics for next-generation EUVL systems Regina Soufli regina.soufli@llnl.gov Lawrence Livermore National Laboratory 2009 International Workshop on EUV Lithography, Honolulu, Oahu July 16, 2009

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Reflectometry with Synchrotron Radiation

Reflectometry with Synchrotron Radiation Michael Krumrey*, Levent Cibik, Andreas Fischer, Alexander Gottwald, Udo Kroth, Frank Scholze Introduction High-quality reflection measurements for the characterization of optical components, for layer

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Langmuir-Schaefer deposition of quantum dot multilayers. Supporting Information

Langmuir-Schaefer deposition of quantum dot multilayers. Supporting Information Langmuir-Schaefer deposition of quantum dot multilayers Supporting Information I. AFM, UV-VIS and TEM characterization of LS layers S1 Low-magnification TEM images of Q-CdSe layers, deposited on a carbon-coated

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS S.K. Lazarouk, D.A. Sasinovich BELARUSIAN STATE UNIVERSITY OF INFORMATICS AND RADIOELECTRONICS Outline: -- experimental

More information

Instrumentation and Operation

Instrumentation and Operation Instrumentation and Operation 1 STM Instrumentation COMPONENTS sharp metal tip scanning system and control electronics feedback electronics (keeps tunneling current constant) image processing system data

More information

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence vnaoki Awaji (Manuscript received December 13, 21) We have developed a grazing incidence

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

Evaluation of Mo/Si multilayer for EUVL mask blank

Evaluation of Mo/Si multilayer for EUVL mask blank Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Optical characterization of highly inhomogeneous thin films

Optical characterization of highly inhomogeneous thin films Optical characterization of highly inhomogeneous thin films D.M. Rosu, A. Hertwig, P. Petrik, U. Beck Department 6.7 - Surface Modification and Measurement Technique BAM - Federal Institute for Materials

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Thermal conductance of weak and strong interfaces

Thermal conductance of weak and strong interfaces Thermal conductance of weak and strong interfaces David G. Cahill, Wen-Pin Hsieh, Mark Losego, Paul Braun, Dong-Wook Oh, Seok Kim, Eric Pop, Sanjiv Sinha, Paul Braun, and John Rogers Department of Materials

More information

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Lin Zschiedrich, Sven Burger, Achim Schädle, Frank Schmidt Zuse Institute Berlin, JCMwave GmbH NUSOD,

More information

ULTRATHIN LAYER DEPOSITIONS A NEW TYPE OF REFERENCE SAMPLES FOR HIGH PERFORMANCE XRF ANALYSIS

ULTRATHIN LAYER DEPOSITIONS A NEW TYPE OF REFERENCE SAMPLES FOR HIGH PERFORMANCE XRF ANALYSIS 298 299 ULTRATHIN LAYER DEPOSITIONS A NEW TYPE OF REFERENCE SAMPLES FOR HIGH PERFORMANCE XRF ANALYSIS M. Krämer 1), R. Dietsch 1), Th. Holz 1), D. Weißbach 1), G. Falkenberg 2), R. Simon 3), U. Fittschen

More information

A Plasmonic Photocatalyst Consisting of Silver Nanoparticles Embedded in Titanium Dioxide. Ryan Huschka LANP Seminar February 19, 2008

A Plasmonic Photocatalyst Consisting of Silver Nanoparticles Embedded in Titanium Dioxide. Ryan Huschka LANP Seminar February 19, 2008 A Plasmonic Photocatalyst Consisting of Silver Nanoparticles Embedded in Titanium Dioxide Ryan Huschka LANP Seminar February 19, 2008 TiO 2 Applications White Pigment Photocatalyst Previous methods to

More information

Measurement of the chemistry and growth of alkali antimonides using in-situ AFM and XPS

Measurement of the chemistry and growth of alkali antimonides using in-situ AFM and XPS Susanne Schubert sschubert@bnl.gov P3 workshop, Cornell Oct. 2012 Measurement of the chemistry and growth of alkali antimonides using in-situ AFM and XPS SETUP AT THE CENTER OF FUNCTIONAL NANOMATERIALS,

More information

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers v b Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers S P Vernon, D R Kania, P A Kearney, R A Levesque Lawrence Livermore National

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

Using Multilayer Optics to Measure X-ray Polarization. Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M.

Using Multilayer Optics to Measure X-ray Polarization. Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M. Using Multilayer Optics to Measure X-ray Polarization Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M. Weisskopf (MSFC) Background: an Attempt to Measure EUV Polarization -

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Spectroscopic Ellipsometry (SE) in Photovoltaic Applications

Spectroscopic Ellipsometry (SE) in Photovoltaic Applications Spectroscopic Ellipsometry (SE) in Photovoltaic Applications Jianing Sun, James Hilfiker, Greg Pribil, and John Woollam c-si PVMC Metrology Workshop July 2012, San Francisco PV key issues Material selection

More information

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Outline Sample preparation Magnetron sputtering Ion-beam sputtering Pulsed laser deposition Electron-beam

More information

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development Paola Zuppella Padova Palazzo Bo Anatomical theatre St Anthony Cathedral Institutions University of

More information

Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources

Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources JOURNAL OF APPLIED PHYSICS 102, 023301 2007 Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources S. N. Srivastava, a K. C. Thompson, E. L. Antonsen, H. Qiu, J. B. Spencer,

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

The Story of Xe 21+ at FLASH

The Story of Xe 21+ at FLASH X ray Science in the 21th Century Santa Barbara, August 2 to 6, 2010 The Story of Xe 21+ at FLASH M. Richter Physikalisch Technische Bundesanstalt (PTB) I PB Multiple Ionization of Xenon in the EUV (13.3

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

X-ray optics for the LCLS free-electron laser

X-ray optics for the LCLS free-electron laser UCRL-PRES-433855 X-ray optics for the LCLS free-electron laser Lawrence Livermore National Laboratory 2010 International Workshop on EUV Sources, University College Dublin, Ireland 14 November, 2010 This

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

IMAGING THE EUV CORONA EXTREME ULTRAVIOLET IMAGER WITH THE PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT

IMAGING THE EUV CORONA EXTREME ULTRAVIOLET IMAGER WITH THE PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT IMAGING THE EUV CORONA WITH THE EXTREME ULTRAVIOLET IMAGER PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT Frédéric Auchère, for the EUI consortium 2 nd METIS science & technical meeting

More information

Supplementary Information for. Effect of Ag nanoparticle concentration on the electrical and

Supplementary Information for. Effect of Ag nanoparticle concentration on the electrical and Supplementary Information for Effect of Ag nanoparticle concentration on the electrical and ferroelectric properties of Ag/P(VDF-TrFE) composite films Haemin Paik 1,2, Yoon-Young Choi 3, Seungbum Hong

More information

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference

More information

Déposition séléctive le rêve reviens

Déposition séléctive le rêve reviens Willkommen Welcome Bienvenue Déposition séléctive le rêve reviens Patrik Hoffmann Michael Reinke, Yury Kuzminykh Ivo Utke, Carlos Guerra-Nunez, Ali Dabirian, Xavier Multone, Tristan Bret, Estelle Halary-Wagner,

More information

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors Lecture 2 Introduction to semiconductors Structures and characteristics in semiconductors Semiconductor p-n junction Metal Oxide Silicon structure Semiconductor contact Literature Glen F. Knoll, Radiation

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films David L. Windt Reflective X-ray Optics LLC, 1361 Amsterdam Ave., Suite 3B, New York, NY 10027 ABSTRACT We have

More information

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Problem 1: Semiconductor Fundamentals [30 points] A uniformly doped silicon sample of length 100µm and cross-sectional area 100µm 2

More information

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors Lecture 2 Introduction to semiconductors Structures and characteristics in semiconductors Semiconductor p-n junction Metal Oxide Silicon structure Semiconductor contact Literature Glen F. Knoll, Radiation

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Polarised 3 He Based Neutron Polarisers & Analysers for OPAL Instruments. W. T. Hal Lee, Frank Klose (ANSTO) Ken Andersen, David Jullien (ILL)

Polarised 3 He Based Neutron Polarisers & Analysers for OPAL Instruments. W. T. Hal Lee, Frank Klose (ANSTO) Ken Andersen, David Jullien (ILL) Polarised 3 He Based Neutron Polarisers & Analysers for OPAL Instruments W. T. Hal Lee, Frank Klose (ANSTO) Ken Andersen, David Jullien (ILL) Polarised 3 He Setup for 6 ANSTO Instruments To facilitate

More information

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs Electron Beam Curable Varnishes Rapid Processing of Planarization Layers on Polymer Webs Juliane Fichtner, Michaela Hagenkamp, Markus Noss, Steffen Günther Fraunhofer Institute for Organic Electronics,

More information

Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV

Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV Jed E. Johnson*, David D. Allred* +, R. Steven Turley*, William R. Evans*, and Richard L. Sandberg** *Brigham Young University, **now Univ.

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg

Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg Surface Treatment Unit, UTS BRIEF history : 2006 2009 : launched of

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Insulating Interlocked Ferroelectric and Structural Antiphase Domain Walls in Multiferroic YMnO 3 T. Choi 1, Y. Horibe 1, H. T. Yi 1,2, Y. J. Choi 1, Weida. Wu 1, and S.-W. Cheong

More information

Calculating Thin Film Stack Properties

Calculating Thin Film Stack Properties Lecture 5: Thin Films Outline 1 Thin Films 2 Calculating Thin Film Stack Properties 3 Fabry-Perot Tunable Filter 4 Anti-Reflection Coatings 5 Interference Filters Christoph U. Keller, Leiden University,

More information

Quasi-periodic nanostructures grown by oblique angle deposition

Quasi-periodic nanostructures grown by oblique angle deposition JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 12 15 DECEMBER 2003 Quasi-periodic nanostructures grown by oblique angle deposition T. Karabacak, a) G.-C. Wang, and T.-M. Lu Department of Physics, Applied

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION DOI: 10.1038/NNANO.2011.123 Ultra-strong Adhesion of Graphene Membranes Steven P. Koenig, Narasimha G. Boddeti, Martin L. Dunn, and J. Scott Bunch* Department of Mechanical Engineering,

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Carbonized Electrospun Nanofiber Sheets for Thermophones

Carbonized Electrospun Nanofiber Sheets for Thermophones Supporting Information Carbonized Electrospun Nanofiber Sheets for Thermophones Ali E. Aliev 1 *, Sahila Perananthan 2, John P. Ferraris 1,2 1 A. G. MacDiarmid NanoTech Institute, University of Texas at

More information

SUPPORTING INFORMATION

SUPPORTING INFORMATION SUPPORTING INFORMATION Non-Plasmonic SERS with Silicon: Is It Really Safe? New Insights into the Opto-Thermal Properties of Core/Shell Microbeads Nicolò Bontempi, a,d Irene Vassalini, a,b Stefano Danesi,

More information

Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups.

Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups. ICQNM 2014 Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups. Cubic: Diamond structures: group IV semiconductors (Si, Ge, C), Cubic zinc-blende structures:

More information

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR Copyright(c)JCPDS-International Centre for Diffraction Data 2000,Advances in X-ray Analysis,Vol.43 218 REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR S. M. Owens Laboratory for High Energy Astrophysics,

More information

MENA9510 characterization course: Capacitance-voltage (CV) measurements

MENA9510 characterization course: Capacitance-voltage (CV) measurements MENA9510 characterization course: Capacitance-voltage (CV) measurements 30.10.2017 Halvard Haug Outline Overview of interesting sample structures Ohmic and schottky contacts Why C-V for solar cells? The

More information

Supporting Information. Interfacial Shear Strength of Multilayer Graphene Oxide Films

Supporting Information. Interfacial Shear Strength of Multilayer Graphene Oxide Films Supporting Information Interfacial Shear Strength of Multilayer Graphene Oxide Films Matthew Daly a,1, Changhong Cao b,1, Hao Sun b, Yu Sun b, *, Tobin Filleter b, *, and Chandra Veer Singh a, * a Department

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

Direct-writing on monolayer GO with Pt-free AFM tips in the

Direct-writing on monolayer GO with Pt-free AFM tips in the Supplementary Figure S1 Direct-writing on monolayer GO with Pt-free AFM tips in the presence of hydrogen. We replaced the Pt-coated tip with a gold-coated tip or an untreated fresh silicon tip, and kept

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

INFLUENCE OF GROWTH INTERRUPTION ON THE FORMATION OF SOLID-STATE INTERFACES

INFLUENCE OF GROWTH INTERRUPTION ON THE FORMATION OF SOLID-STATE INTERFACES 122 INFLUENCE OF GROWTH INTERRUPTION ON THE FORMATION OF SOLID-STATE INTERFACES I. Busch 1, M. Krumrey 2 and J. Stümpel 1 1 Physikalisch-Technische Bundesanstalt, Bundesallee 100, 38116 Braunschweig, Germany

More information

Body Centered Cubic Magnesium Niobium Hydride with Facile Room Temperature Absorption and Four Weight Percent Reversible Capacity

Body Centered Cubic Magnesium Niobium Hydride with Facile Room Temperature Absorption and Four Weight Percent Reversible Capacity Electronic Supplementary Information (ESI) for Energy & Environmental Science This journal is The Royal Society of Chemistry 212 Supporting Information Body Centered Cubic Magnesium Niobium Hydride with

More information