Customized EUV optics made by optix fab

Size: px
Start display at page:

Download "Customized EUV optics made by optix fab"

Transcription

1 Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015

2 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights Summary 2 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

3 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights Summary 3 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

4 optix fab organization Mission: Fabrication of customized EUV optics and optical components for EUV 13.5 nm and beyond, synchrotron and FEL beamlines, metrology, R&D applications, etc. Foundation: Dec 2012, fully operational: August 1, 2013 Address: optix fab GmbH Hans-Knöll-Str. 6 D Jena info@optixfab.com Production: Delivery of > 3000 soft X-ray and EUV multilayer mirrors since Aug 1, 2013 Team: 4 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

5 EUV optics made by optix fab 5 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

6 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights Summary 6 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

7 NESSY I Substrate size: up to Ø 450 mm four deposition targets deposition of graded multilayers on curved substrates Installation: 2003 Application: EUV optics 7 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

8 NESSY II Substrate size: up to Ø 700 mm six deposition targets deposition of graded multilayers on curved substrates Installation: 2009 Application: collectors 8 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

9 EUV multilayer characterization infrastructure Multilayer Characterization X-Ray Reflectometry (XRD) Atomic Force Microscopy (AFM) EUV-Reflectometry (PTB) Mo/Si 60 periods 0.2 nm rms-rauheit: 0.15 nm 0.0 nm d-spacing film roughness density surface/film roughness surface structure R(λ) wavelength range: nm 9 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

10 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights Summary 10 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

11 Multilayers for 4.3 nm R = 11.3 % l = 4.32 nm FWHM = nm AOI = 1.5 deg. Berlin 11 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

12 Multilayers for 6.x nm R = 51.7 % l = 6.69 nm FWHM = nm AOI = 5 deg. Berlin 12 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

13 Multilayers for 8 12 nm Wavelength AOI Reflectance FWHM 8.0 nm 5 deg 32.2 % 0.08 nm 9.0 nm 5 deg 36.0 % 0.11 nm 10.0 nm 5 deg 39.9 % 0.15 nm 11.0 nm 5 deg 46.3 % 0.23 nm 12.0 nm 5 deg 49.1 % 0.33 nm Berlin 13 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

14 Multilayers for 13.5 nm R = % l = nm FWHM = nm AOI = 5 deg. Berlin 14 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

15 Beamsplitters for 13.5 nm R = 29.0 % T = 21.5 % l = 13.5 nm AOI = 45 deg. Berlin 15 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

16 Narrowband Multilayers for nm Wavelength AOI Reflectance FWHM ML Design 30.0 nm 5 deg 36.1 % 2.17 nm 30.0 nm 15 deg 11.5 % 0.60 nm narrow band 38.0 nm 15 deg 7.4 % 0.86 nm narrow band Berlin 16 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

17 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights Summary 17 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

18 Multilayer coated collector optics for LPP sources 18 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

19 World s largest LPP collector mirror R > 50 % (uncapped ML) l = (13.50 ± 0.03) nm Dd = nm = 15 pm Diameter: > 660 mm Lens sag: Tilt: Weight: > 150 mm > 45 deg > 40 kg 19 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

20 World s largest LPP collector mirror R > 50 % (uncapped ML) l = (13.50 ± 0.03) nm Dd = nm = 15 pm + 25 % ± 15 pm Diameter: > 660 mm Lens sag: Tilt: Weight: > 150 mm > 45 deg > 40 kg > 660 mm 20 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

21 NXE: Improved collector lifetime in the field. Champion lifetime in the field ~11 months (~120 billion pulses) Several collectors with > 6 months lifetime Update given at SPIE in February 2013 Cap layer development has greatly increased average collector lifetime 21 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

22 SPICE primary mirror and coating of grating for Solar Orbiter 22 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

23 Primary SPICE mirror and coating of grating for Solar Orbiter Heat dump (connected to HE interface) Slit Change Mechanism (SCM) Mirror and Scan-Focus Mechanism (SFM) Particle deflector Detector Assembly SPICE Door Mechanism (SDM) 23 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

24 SPICE primary mirror for VUV Spectrograph Parabolic off-axis mirror f = 633 mm 103 mm x 103 mm fused silica HR l 1 = nm HR l 1 = nm Backside AR 550 nm form error < l / 20 HSF roughness < 0.2 nm rms 24 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

25 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights Summary 25 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

26 Summary Fabrication of customized EUV multilayer optics from 4 nm to 100 nm New reflectance level for EUV lithography: R = nm Development of metal multilayer collector mirror for EUV lithography 26 I 2014 International Workshop on EUV and Soft X-ray Sources, Nov. 5, 2014

27 Thank you.

28 optix fab.

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF Solutions with light meet challenges and offer opportunities Fraunhofer IOF Multilayer coating for EUV collector mirrors 2011 International Workshop on EUV and Soft X- Ray Sources Hagen Pauer, Marco Perske,

More information

EUV-collector mirrors for high-power LPP sources

EUV-collector mirrors for high-power LPP sources EUV-collector mirrors for high-power LPP sources EUV Source Workshop Torsten Feigl, Sergiy Yulin, Nicolas Benoit, Norbert Kaiser Fraunhofer IOF Jena Norbert Böwering, Oleh Khodykin, David Brandt Cymer,

More information

Design and Space Qualification of a VUV Telescope Mirror for Solar Orbiter SPICE

Design and Space Qualification of a VUV Telescope Mirror for Solar Orbiter SPICE Design and Space Qualification of a VUV Telescope Mirror for Solar Orbiter SPICE Udo Schühle MPS Solar Group Seminar Overview Introduc/on: The Solar Orbiter Mission The SPICE Spectrograph SPICE Telescope

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Status of multilayer coatings for EUV Lithography

Status of multilayer coatings for EUV Lithography Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Evaluation of Mo/Si multilayer for EUVL mask blank

Evaluation of Mo/Si multilayer for EUVL mask blank Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Design of multilayer X-ray mirrors and systems

Design of multilayer X-ray mirrors and systems Design of multilayer X-ray mirrors and systems T. Holz*, R. Dietsch*, S. Braun**, A. Leson** * AXO DRESDEN GmbH, Germany ** Fraunhofer IWS Dresden, Germany Introduction CHARACTERISTICS 1D periodicity of

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic spectrum

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range 2 nd Swedish-German Workshop on X-Ray Optics HZB Berlin-Adlershof, 28-30 April 2015 Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range K. Mann J.O. Dette, J. Holburg, F.

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Multilayer coating facility for the HEFT hard X-ray telescope

Multilayer coating facility for the HEFT hard X-ray telescope Multilayer coating facility for the HEFT hard X-ray telescope Carsten P. Jensen a, Finn E. Christensen a, Hubert Chen b, Erik B. W.Smitt a, Eric Ziegler c a Danish Space Research Institute (Denmark); b

More information

Image Degradation from Surface Scatter in EUV Optics

Image Degradation from Surface Scatter in EUV Optics Image Degradation from Surface Scatter in EUV Optics D. P. Gaines, T. P. Daly, D. G. Steams, B. LaFontaine, D. W. Sweeney, D. Fuchs This paper was prepared for submittal to the Optical Society of America

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

Spectroscopy for planetary upper atmospheres きょくたん

Spectroscopy for planetary upper atmospheres きょくたん Spectroscopy for planetary upper atmospheres きょくたん Spectrum of Venus atmosphere Spectrum of Jupiter and Io Figure 1. An EUV spectrum measured by Hisaki spacecraft. The spectrograph mixes spatial and spectral

More information

Damage to optics under irradiations with the intense EUV FEL pulses

Damage to optics under irradiations with the intense EUV FEL pulses Damage to optics under irradiations with the intense EUV FEL pulses Ryszard Sobierajski 1, Eric Louis 2 1 Institute of Physics PAS, 2 Universiteit Twente Damage to optics - motivation Properties of the

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Low slice emittance preservation during bunch compression

Low slice emittance preservation during bunch compression Low slice emittance preservation during bunch compression S. Bettoni M. Aiba, B. Beutner, M. Pedrozzi, E. Prat, S. Reiche, T. Schietinger Outline. Introduction. Experimental studies a. Measurement procedure

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Multilayer optics for next-generation EUVL systems

Multilayer optics for next-generation EUVL systems Multilayer optics for next-generation EUVL systems Regina Soufli regina.soufli@llnl.gov Lawrence Livermore National Laboratory 2009 International Workshop on EUV Lithography, Honolulu, Oahu July 16, 2009

More information

Figuring sequences on a super-smooth sample using ion beam technique

Figuring sequences on a super-smooth sample using ion beam technique Figuring sequences on a super-smooth sample using ion beam technique Jean-Phillippe Tock a, Jean-Paul Collette a, Patrick Gailly a, Dirk Kampf b a Centre Spatial de Liège Université de Liège Parc Scientifique

More information

X-ray Optics needs for 3 rd and 4 th generation Light Source. Mourad Idir BNL/NSLS II 1 BROOKHAVEN SCIENCE ASSOCIATES

X-ray Optics needs for 3 rd and 4 th generation Light Source. Mourad Idir BNL/NSLS II 1 BROOKHAVEN SCIENCE ASSOCIATES X-ray Optics needs for 3 rd and 4 th generation Light Source Mourad Idir midir@bnl.gov BNL/NSLS II 1 BROOKHAVEN SCIENCE ASSOCIATES OUTLINE 3 rd and 4 th generation Light source Optics needs NSLS II Example

More information

Lobster-Eye Hard X-Ray Telescope Mirrors

Lobster-Eye Hard X-Ray Telescope Mirrors Lobster-Eye Hard X-Ray Telescope Mirrors Victor Grubsky, Michael Gertsenshteyn, Keith Shoemaker, Igor Mariyenko, and Tomasz Jannson Physical Optics Corporation, Torrance, CA Mirror Technology Days 007

More information

The gravitational waves detection: 20 years of research to deliver the LIGO/VIRGO mirrors. Christophe MICHEL on behalf of LMA Team

The gravitational waves detection: 20 years of research to deliver the LIGO/VIRGO mirrors. Christophe MICHEL on behalf of LMA Team Christophe MICHEL on behalf of LMA Team 1 The event February 11th 2016 LIGO and VIRGO announced the first direct detection of gravitational waves https://www.youtube.com/watch?v=vd1pak5f6gq http://journals.aps.org/prl/abstract/10.1103/physrevlett.1

More information

Appendix G. Solar Orbiter SPICE Thermal Design, Analysis and Testing. Samuel Tustain (RAL Space, United Kingdom)

Appendix G. Solar Orbiter SPICE Thermal Design, Analysis and Testing. Samuel Tustain (RAL Space, United Kingdom) 137 Appendix G Solar Orbiter SPICE Thermal Design, Analysis and Testing Samuel Tustain (RAL Space, United Kingdom) 138 Solar Orbiter SPICE Thermal Design, Analysis and Testing Abstract 1 The Spectral Imaging

More information

SIMBOL-X X optics: design and implementation

SIMBOL-X X optics: design and implementation SIMBOL-X X optics: design and implementation Giovanni Pareschi, Oberto Citterio INAF Brera Astronomical Observatory 23807 Merate (Lc) ITALY E-mail: pareschi@merate.mi.astro.it 30 m Outline the SIMBOL-X

More information

Narrow-band EUV multilayer coating for the MOSES sounding rocket

Narrow-band EUV multilayer coating for the MOSES sounding rocket Narrow-band EUV multilayer coating for the MOSES sounding rocket Scott M. Owens 1*, Jeffery S. Gum 1, Charles Tarrio 2, Steven Grantham 2, Joseph Dvorak 3, Benjawan Kjornrattanawanich 4, Ritva Keski-Kuha

More information

The Performance of the EUV Spectroscope (EXCEED) Onboard the SPRINT-A Mission

The Performance of the EUV Spectroscope (EXCEED) Onboard the SPRINT-A Mission The Performance of the EUV Spectroscope (EXCEED) Onboard the SPRINT-A Mission K. Yoshioka, G. Murakami, A. Yamazaki, K. Uemizu, T. Kimura (ISAS/JAXA), I. Yoshikawa, K. Uji (Univ. Tokyo) F. Tsuchiya, and

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

CHARA Collaboration Year-Eight Science Review. VLTI update. F. Delplancke

CHARA Collaboration Year-Eight Science Review. VLTI update. F. Delplancke VLTI update F. Delplancke Summary Infrastructure Current instruments: MIDI, AMBER, PIONIER Under test & commissioning: PRIMA 2 nd generation instruments Long Range Plan Infrastructure Infrastructure 4

More information

Why Go To Space? Leon Golub, SAO BACC, 27 March 2006

Why Go To Space? Leon Golub, SAO BACC, 27 March 2006 Why Go To Space? Leon Golub, SAO BACC, 27 March 2006 Solar Observation Observation of the Sun has a long and distinguished history Especially important as calendar where e.g. seasonal monsoons produced

More information

SPPS: The SLAC Linac Bunch Compressor and Its Relevance to LCLS

SPPS: The SLAC Linac Bunch Compressor and Its Relevance to LCLS LCLS Technical Advisory Committee December 10-11, 2001. SPPS: The SLAC Linac Bunch Compressor and Its Relevance to LCLS Patrick Krejcik LCLS Technical Advisory Committee Report 1: July 14-15, 1999 The

More information

The first telescopes at the lunar outpost will be observing the Sun. Ed DeLuca CfA Heliophysics Subcommittee

The first telescopes at the lunar outpost will be observing the Sun. Ed DeLuca CfA Heliophysics Subcommittee The first telescopes at the lunar outpost will be observing the Sun Ed DeLuca CfA Heliophysics Subcommittee Overview The need for an operational solar telescope In situ space weather forecasting / nowcasting

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

A very versatile, large A-omega, fibre-fed spectrograph design. Ian Parry IoA, Cambridge

A very versatile, large A-omega, fibre-fed spectrograph design. Ian Parry IoA, Cambridge A very versatile, large A-omega, fibre-fed spectrograph design Ian Parry IoA, Cambridge 1 But first a quick diversion to support Alvio s case NIR multi-object spectroscopy with fibres works! CIRPASS was

More information

Spectroscopic Ellipsometry (SE) in Photovoltaic Applications

Spectroscopic Ellipsometry (SE) in Photovoltaic Applications Spectroscopic Ellipsometry (SE) in Photovoltaic Applications Jianing Sun, James Hilfiker, Greg Pribil, and John Woollam c-si PVMC Metrology Workshop July 2012, San Francisco PV key issues Material selection

More information

Federico Landini. INAF Osservatorio Astrofisico di Arcetri

Federico Landini. INAF Osservatorio Astrofisico di Arcetri Federico Landini INAF Osservatorio Astrofisico di Arcetri Outline METIS and its occulting system Theoretical estimate of the diffraction pattern on the primary mirror plane Occulter optimization concept

More information

At-wavelength figure metrology of hard x-ray focusing mirrors

At-wavelength figure metrology of hard x-ray focusing mirrors REVIEW OF SCIENTIFIC INSTRUMENTS 77, 063712 2006 At-wavelength figure metrology of hard x-ray focusing mirrors Hirokatsu Yumoto, a Hidekazu Mimura, Satoshi Matsuyama, Soichiro Handa, and Yasuhisa Sano

More information

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development Paola Zuppella Padova Palazzo Bo Anatomical theatre St Anthony Cathedral Institutions University of

More information

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY FEL 2004 Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics M. Richter S.V. Bobashev, J. Feldhaus A. Gottwald, U. Hahn A.A. Sorokin, K. Tiedtke BESSY PTB s Radiometry Laboratory at BESSY II 1

More information

Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities

Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities Advances in Optical Technologies Volume 2012, Article ID 976868, 8 pages doi:10.1155/2012/976868 Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Phillip Chamberlin NASA Goddard Space Flight Center Solar Physics Laboratory Greenbelt, MD USA

Phillip Chamberlin NASA Goddard Space Flight Center Solar Physics Laboratory Greenbelt, MD USA Phillip Chamberlin NASA Goddard Space Flight Center Solar Physics Laboratory Greenbelt, MD USA Phillip.C.Chamberlin@NASA.gov With important contributions from Ryan Milligan (QUB), Daniel Ryan (ROB), Jan

More information

Sun Shield. Solar Paddle

Sun Shield. Solar Paddle The Institute of Space and Astronautical Science Report SP No.14, December 2000 Current Status of ASTRO-F By Hiroshi Murakami Λ (November 1, 2000) Abstract: The ASTRO-F is the second infrared astronomy

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Reflectometry with Synchrotron Radiation

Reflectometry with Synchrotron Radiation Michael Krumrey*, Levent Cibik, Andreas Fischer, Alexander Gottwald, Udo Kroth, Frank Scholze Introduction High-quality reflection measurements for the characterization of optical components, for layer

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

INFLUENCE OF GROWTH INTERRUPTION ON THE FORMATION OF SOLID-STATE INTERFACES

INFLUENCE OF GROWTH INTERRUPTION ON THE FORMATION OF SOLID-STATE INTERFACES 122 INFLUENCE OF GROWTH INTERRUPTION ON THE FORMATION OF SOLID-STATE INTERFACES I. Busch 1, M. Krumrey 2 and J. Stümpel 1 1 Physikalisch-Technische Bundesanstalt, Bundesallee 100, 38116 Braunschweig, Germany

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Outline. Theoretical estimate of the diffraction pattern on the primary mirror plane

Outline. Theoretical estimate of the diffraction pattern on the primary mirror plane a b d e c Outline Solar Orbiter and METIS - METIS optical design - METIS Inverted Occulter Theoretical estimate of the diffraction pattern on the primary mirror plane Occulter optimization concept The

More information

Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV

Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV Jed E. Johnson*, David D. Allred* +, R. Steven Turley*, William R. Evans*, and Richard L. Sandberg** *Brigham Young University, **now Univ.

More information

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, WISE 2000, International Workshop on Spectroscopic Ellipsometry, 8 9 May 2000 DUV (150 350nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, Pierre BOHER,,

More information

Testing X-ray Gratings for Polarization Sensitivity

Testing X-ray Gratings for Polarization Sensitivity 1 Testing X-ray Gratings for Polarization Sensitivity Hannah Marlowe, 1 In collaboration with: Randall McEntaffer, 1 Casey DeRoo, 1 Drew M. Miles, 1 James H. Tutt, 1 Christian Laubis, 2 Victor Soltwisch,

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

ULTRAFAST COMPONENTS

ULTRAFAST COMPONENTS ULTRAFAST COMPONENTS Mirrors CVI Laser Optics offers both the PulseLine family of optical components and other existing product lines that are ideal for use with femtosecond lasers and associated applications.

More information

Solar Orbiter/SPICE: composition studies

Solar Orbiter/SPICE: composition studies Solar Orbiter/SPICE: composition studies Alessandra Giunta 1-2/10/2015 - ADAS workshop 1 Solar Orbiter/SPICE Door Mechanism Grating Assembly Particle Deflector SPICE Slit Change Mechanism Mirror & Scan

More information

SPICA/SAFARI (SPace Infrared telescope for Cosmology and Astrophysics)

SPICA/SAFARI (SPace Infrared telescope for Cosmology and Astrophysics) SPICA/SAFARI (SPace Infrared telescope for Cosmology and Astrophysics) 1 SPICA/SAFARI (SPace Infrared telescope for Cosmology and Astrophysics) Summary SAFARI (SPICA far-infrared instrument) is an imaging

More information

The TROPOMI Telescope

The TROPOMI Telescope The TROPOMI Telescope Design, fabrication and test of a freeform optical system Authors: David Nijkerk Bart van Venrooy Peter van Doorn Rens Henselmans Folkert Draaisma André Hoogstrate Presented by Ad

More information

Second-generation holographic grating technology

Second-generation holographic grating technology Second-generation holographic grating technology Dr. Erik Wilkinson University of Colorado Outline Challenges of UV instrumentation Holographic gratings in general Aberration-control theory in a viewgraph

More information

Delta undulator magnet: concept and project status

Delta undulator magnet: concept and project status Delta undulator magnet: concept and project status Part I: concept and model construction* Alexander Temnykh, CLASSE, Cornell University, Ithaca, New York, USA Part - II: beam test at ATF in BNL + M. Babzien,

More information

PAIRITEL's Telescopes and Cameras: A History...

PAIRITEL's Telescopes and Cameras: A History... PAIRITEL's Telescopes and Cameras: A History... Design, development, and operation of 2MASS The 2MASS Clearance Sale: Trash or Treasure? The Future The Telescope that Almost Wasn't: Part I 2MASS had to

More information

X-Ray Nanoimaging: Instruments And Methods II (Proceedings Of SPIE)

X-Ray Nanoimaging: Instruments And Methods II (Proceedings Of SPIE) X-Ray Nanoimaging: Instruments And Methods II (Proceedings Of SPIE) If you are searched for a book X-Ray Nanoimaging: Instruments and Methods II (Proceedings of SPIE) in pdf form, then you've come to the

More information

IMAGING THE EUV CORONA EXTREME ULTRAVIOLET IMAGER WITH THE PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT

IMAGING THE EUV CORONA EXTREME ULTRAVIOLET IMAGER WITH THE PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT IMAGING THE EUV CORONA WITH THE EXTREME ULTRAVIOLET IMAGER PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT Frédéric Auchère, for the EUI consortium 2 nd METIS science & technical meeting

More information

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR Copyright(c)JCPDS-International Centre for Diffraction Data 2000,Advances in X-ray Analysis,Vol.43 218 REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR S. M. Owens Laboratory for High Energy Astrophysics,

More information

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Alex I. Ershov, G.G. Padmabandu, Jeremy Tyler, Palash P. Das Cymer, Inc. 16750 Via Del Campo Court, San Diego, CA 92127

More information

Giant Magellan Telescope Project Byeong-Gon Park Korea Astronomy and Space Science Institute

Giant Magellan Telescope Project Byeong-Gon Park Korea Astronomy and Space Science Institute Giant Magellan Telescope Project Byeong-Gon Park Korea Astronomy and Space Science Institute 1 GMT Status for SSG Workshop @ High 1, Jan. 15-17, 2018. Telescope Design Overview Doubly segmented M1 8.4m

More information

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Outline Sample preparation Magnetron sputtering Ion-beam sputtering Pulsed laser deposition Electron-beam

More information

French-Ukrainian workshop Kevin Dupraz 1 ELI-NP-GBS. Extreme Light Infrastructure Nuclear Physics Gamma Beam Source

French-Ukrainian workshop Kevin Dupraz 1 ELI-NP-GBS. Extreme Light Infrastructure Nuclear Physics Gamma Beam Source 1 ELI-NP-GBS Extreme Light Infrastructure Nuclear Physics Gamma Beam Source The 3 ELI s pillars 2 ELI-Beamlines In Czech Republic: Ultra-short and intense beams for interdisciplinary applications. ELI-NP

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence vnaoki Awaji (Manuscript received December 13, 21) We have developed a grazing incidence

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Preliminary characterization of first multilayer mirrors for the soft X-ray water-window

Preliminary characterization of first multilayer mirrors for the soft X-ray water-window Preliminary characterization of first multilayer mirrors for the soft X-ray water-window Gianfelice Cinque, Augusto Marcelli, Antonio Grilli and Agostino Raco INFN, Laboratori Nazionali di Frascati, via

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017 ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems Prof. Peter Bermel April 12, 2017 Ideal Selective Solar Absorber Efficiency Limits Ideal cut-off wavelength for a selective

More information

Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence

Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence Shinji OZAKI, Matsushita Technoresearch Inc. ozaki.s@jp.panasonic.com The chemical states of a SiO 2 /Si govern the conductivity

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information