EUV-Technology with Discharge EUV-Lamp"

Size: px
Start display at page:

Download "EUV-Technology with Discharge EUV-Lamp""

Transcription

1 EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer Institut für Lasertechnik EUV Lamp Head: 2 J electrical input per pulse,5 mj/sr/2% bw. EUV emission <= 5 µm FWHM diameter Permanent operation 4w/7d/24h Expected MTBF 4*1 7 Pulses, i.e. > 2. hours, i.e. 2 MJ inband radiation Flexible head orientation. spectral characteristics from narrow to quasi-cw available all gaseous targets can be used environmentally sealed

2 EUV-Lamp System 5, 1, 25 Hz reprate 15, 3, 75 mw/inband/2π sr CE certificate User-friendly control Remote maintenance interface (Telephone line) At site installation and training Debris free with Zr-window separation between source and application (window foil between lamp and application) Manual controlled, low-cost systems for university research and OEM lamp heads are available

3 Integrated in EUV-Exposer EUV- Lamp EUVwindow Multilayer mirror as spectral filter and debris stop Open frame Resits Exposer filters Lamp-emission to nearly EUV-Inband (UV<,1%; VUV< 1%; EUV out 1%) Used for resist development, e.g. dose-contrast... 5 nm lines and spaces have been printed with free-standing contact mask insert.

4 Scattering Pinhole image of source with scatter Close-up shaddowgraph of window foil shows scatter at edges Scattering is detected with one single pulse from EUV source

5 Thickness Measurements on Nanolayers CCD reflectivity EUV-Lamp shutter collimator slit sample entrance slit diffraction grating measurement SiO 2 8nm SiO 2 on Si 6nm SiO 2 on Si 4nm SiO 2 on Si 3.4nm SiO 2 on Si 2nm SiO 2 on Si Si θ 2θ set-up: spectrometry: sample: layer thickness, vertical surface roughness broadband xenon spectrum wafer, Si with SiO 2 layer (oxidized at air) data acquisition source and reflection spectra simultaneously for θ < 1.5 source and reflection spectra in separated pulses for θ > 1.5 data processing: C++ software solution (as in transmission) wavelength [nm] comparison to reference data (CXRO) fit of layer thickness roughness influence not measured measured layer thickness: (3.4±.5) nm Scattering from samples is detected by geometrical change of illumination spot on CCD

6 For EUV-Reflectometer for Mask Blanks EUV-Lamp is used for reflectometry on mask blanks Zirconium window EUV-Lamp EUV-Lamp CCD-camera Mask blank vessel Illumination and spectrograph Laboratory test stand for proof of principle Conceptual of drawing of mask blank reflectometer device. Comissioning will start in October 23

7 For Calibration of EUV-Metrology Sensitivity / A / W Sensitivitiy of the E-Mon (without Zr-filter) JOMT PTB Wavelength / nm Transmission / % 14 Transmission Zr / Si 3 N 4 / Zr JOMT 12 PTB Wavelength / nm Transmission measurements: with EUV-Lamp resemble data from PTB with errors of less than 2 %. Used at JENOPTIK Mikrotechnik for internal calibration

8 EUV-Lamp shutter Transmission measurements: set-up Thin Film Metrology entrance slit sample diffraction grating Data acquisition: emission and transmission simultaneous on CCD, single pulse exposure with EUV-Lamp (< 1 ns) Data processing: wavelength calibration, background subtraction, calculation of transmission, absorption, thickness, chemical shift intensity [cts/pulse] source emission sample transmission (Si 3 N 4 ) background σ abs [barn] 1.6x x x1 7 1.x1 7 8.x1 6 Si 3 N 4 2nm 1 6.x wavelength [nm] Transmission measurements: results 4.x photon energy [ev] Absorption cross section compared to reference data (CXRO)

9 Extentable to Water-Window for X-ray Microscopy CCD Image of PHG th order 8 2 radius wavelength INTENSITY [ normalized ] INTENSITY [ cts/pix ] RADIUS [ µm ] WAVELENGTH [ nm ] Water window radiation mainly by Ar X and Ar XI can be generated by minor changes with the EUV-Lamp

10 Application and Progress Report on a commercial EUV-Lamp Rainer Lebert, Bernhard Jägle, Christian Wies, Larissa Juschkin, AIXUV GmbH, Steinbachstrasse 15, 5274 Aachen, Germany EUV-Lamp system is used for applications, increasingly characterized in joint work on EUV-source metrology with JENOPTIK Mikrotechnik and further developed. Core results in the last year are: EUV Lamp has been tested for 25.. pulses, i.e. > 13 hours of operation and > 1.5 MJ of inband EUV emitted. Part of it has been accomplished in a 2 week permanent operation period. Resist exposer shipped to customer and has being used for more than 15 exposures up to now (no lamp failure) EUV reflectometer based on EUV-Lamp demonstrated potential for immanent wavelength calibration and reproducible reflectometry. Emission in x-ray, XUV, EUV, VUV, DUV and UV has been characterized both by a newly developed spectrograph as well as spatially resolved with filtered pinhole images. Variation of spectral emission characteristics and the extendibility of the source concept to the water window has been demonstrated by Fraunhofer- Institute for laser techniques. 1mm 1 nm 13,5 nm Spatial - spectral resolved emission characteristics of EUV-lamp * see presentations from JENOPTIK Mikrotechnik on source metrology

11 Basic features of EUV-Lamp System easy to set-up and operate compact and efficient design user-friendly control long term stability environmentally sealed / ruggedized head no debris (window foil between lamp and application) no special alignment spatial and temporal stability all gaseous targets can be used spectral characteristics from narrow to quasi-cw available

12 Features of EUV-Lamp: 2 J electrical input per pulse > 4 µj/sr/2% bw. EUV emission <= 5 µm FWHM diameter 5, 1, 25 Hz reprate Permanent operation 4/7/24 Expected MTBF > 3.. Pulses, i.e. > 1.5 hours, 2 MJ inband radiation generated. Remote maintenance interface (Telephone line) Flexible head orientation Manual controlled, low-cost systems for university research and OEM lamp heads are available.

13 Typical Xenon EUV Emission 11,5 12,5 13, Wavelength (nm) UV-spectrum AIXUV s EUV-Lamp emits typical Xenon spectrum but can also be operated with all other gases.

14 Extentable to Water-Window for X-ray Microscopy CCD Image of PHG th order radius 8 2 wavelength INTENSITY [ normalized ] INTENSITY [ cts/pix ] RADIUS [ µm ] WAVELENGTH [ nm ] Water window radiation mainly by Ar X and Ar XI can be generated by minor changes with the EUV-Lamp

15 Flexible Spectrum Change by Use of Different Gases SPECTRAL YIELD µj/(sr [ nm pulse) ] SPECTRAL YIELD µj/(sr [ nm pulse) ] Ne VII Ne VI Ne V Ne IV Neon O VI O V 19 µj/(4π sr) 168 µj/(4π sr) WAVELENGTH [ nm ] 2 µj/(4π sr) Oxygen 55 µj/(4π sr) AREA (TOTAL) : 2.3 mj/(4π sr) WAVELENGTH [ nm ] AREA (TOTAL) : 548 µj/(4π sr) 94 µj/(4π sr) SPECTRAL YIELD µj/(sr [ nm pulse) ] SPECTRAL YIELD µj/(sr [ nm pulse) ] FVII FVI FV Ar VIII Ar VII 146 µj/(4π sr) 47 µj/(4π sr) 1 µj/(4π sr) Fluorine 36 µj/(4π sr) WAVELENGTH [ nm ] AREA (TOTAL) : 37 µj/(4π sr) µj/(4π sr) Argon 6 µj/(4π sr) WAVELENGTH [ nm ] AREA (TOTAL) : 6.14 mj/(4π sr) FIV

16 High Spatial Stability Long time source size identical to single pulse size Pulse to pulse jitter of center of gravity < 1 µm 4 µm Source position, diameter and yield are highly reproducible. Pulse to pulse fluctuations are < 2 µm in spatial position and diameter and < 5 % in yield (RMS). Extensive metrological data on EUV-Lamp are available*

17 Integrated in EUV-Exposer EUV- Lamp EUVwindow Open frame Resits Exposer filters Lampemission to nearly EUV-Inband (UV <1%; VUV < 1%; EUV out 1%) Used for resist development, e.g. dose-contrast... About 15 wafers have been exposed since 1/3. No source failure, beamline window still intactt,, Decrease throughput < 3%. 5 nm lines and spaces have been printed with free-standing contact mask insert.

18 For EUV-Reflectometer for Mask Blanks Zirconium window EUV-Lamp CCD-camera Mask blank vessel Illumination and spectrograph Laboratory test stand for proof of principle Measured reflectivity based on PTB calibrated broadband mirror (FhG-IOF) compared to calculated curve (CXRO) Conceptual of drawing of mask blank reflectometer device. Comissioning will start in October 23

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

LIBSlab ANALYZERS ANALYZERS

LIBSlab ANALYZERS ANALYZERS ANALYZERS ANALYZERS Chemical multi-elemental analysis with LIBS in modular benchtop design LIBSlab LIBSpector compact sample chamber for the LIBS analysis of solid, liquid and gaseous samples. Sample chamber

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

SEMATECH 157nm Technical Review

SEMATECH 157nm Technical Review SEMATECH 157nm Technical Review Technical Status Report on F2 - Lasers for 157nm Lithography I. Klaft a), F. Voss a), I. Bragin a), E. Bergmann a), T. Nagy a), N. Niemöller a), K.Vogler a), S. Spratte

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Characterisation & Use of Array Spectrometers

Characterisation & Use of Array Spectrometers Characterisation & Use of Array Spectrometers Mike Shaw, Optical Technologies & Scientific Computing Team, National Physical Laboratory, Teddington Middlesex, UK 1 Overview Basic design and features of

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Electron-Acoustic Wave in a Plasma

Electron-Acoustic Wave in a Plasma Electron-Acoustic Wave in a Plasma 0 (uniform ion distribution) For small fluctuations, n ~ e /n 0

More information

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China Research of the EUV radiation and CO 2 Laser produced tin plasma Wang Xinbing 1 *, Zuo DouLuo 1, Lu Peixiang 2, Wu Tao 3 1 Wuhan National Laboratory for Optoelectronics, Huazhong University of Science

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY FEL 2004 Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics M. Richter S.V. Bobashev, J. Feldhaus A. Gottwald, U. Hahn A.A. Sorokin, K. Tiedtke BESSY PTB s Radiometry Laboratory at BESSY II 1

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Reduction procedure of long-slit optical spectra. Astrophysical observatory of Asiago

Reduction procedure of long-slit optical spectra. Astrophysical observatory of Asiago Reduction procedure of long-slit optical spectra Astrophysical observatory of Asiago Spectrograph: slit + dispersion grating + detector (CCD) It produces two-dimension data: Spatial direction (x) along

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Southern African Large Telescope. Prime Focus Imaging Spectrograph. Instrument Acceptance Testing Plan

Southern African Large Telescope. Prime Focus Imaging Spectrograph. Instrument Acceptance Testing Plan Southern African Large Telescope Prime Focus Imaging Spectrograph Instrument Acceptance Testing Plan Eric B. Burgh University of Wisconsin Document Number: SALT-3160AP0003 Revision 1.0 18 February 2003

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature10721 Experimental Methods The experiment was performed at the AMO scientific instrument 31 at the LCLS XFEL at the SLAC National Accelerator Laboratory. The nominal electron bunch charge

More information

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract Bound together separated by short distance Call this Excited

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation. Problem Solving picture θ f = 10 m s =1 cm equation rearrange numbers with units θ factors to change units s θ = = f sinθ fθ = s / cm 10 m f 1 m 100 cm check dimensions 1 3 π 180 radians = 10 60 arcmin

More information

Model Answer (Paper code: AR-7112) M. Sc. (Physics) IV Semester Paper I: Laser Physics and Spectroscopy

Model Answer (Paper code: AR-7112) M. Sc. (Physics) IV Semester Paper I: Laser Physics and Spectroscopy Model Answer (Paper code: AR-7112) M. Sc. (Physics) IV Semester Paper I: Laser Physics and Spectroscopy Section I Q1. Answer (i) (b) (ii) (d) (iii) (c) (iv) (c) (v) (a) (vi) (b) (vii) (b) (viii) (a) (ix)

More information

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES Chemistry 524--Final Exam--Keiderling May 4, 2011 3:30 -?? pm -- 4286 SES Please answer all questions in the answer book provided. Calculators, rulers, pens and pencils are permitted. No open books or

More information

Detection of X-Rays. Solid state detectors Proportional counters Microcalorimeters Detector characteristics

Detection of X-Rays. Solid state detectors Proportional counters Microcalorimeters Detector characteristics Detection of X-Rays Solid state detectors Proportional counters Microcalorimeters Detector characteristics Solid State X-ray Detectors X-ray interacts in material to produce photoelectrons which are collected

More information

The Performance of the EUV Spectroscope (EXCEED) Onboard the SPRINT-A Mission

The Performance of the EUV Spectroscope (EXCEED) Onboard the SPRINT-A Mission The Performance of the EUV Spectroscope (EXCEED) Onboard the SPRINT-A Mission K. Yoshioka, G. Murakami, A. Yamazaki, K. Uemizu, T. Kimura (ISAS/JAXA), I. Yoshikawa, K. Uji (Univ. Tokyo) F. Tsuchiya, and

More information

Hanle Echelle Spectrograph (HESP)

Hanle Echelle Spectrograph (HESP) Hanle Echelle Spectrograph (HESP) Bench mounted High resolution echelle spectrograph fed by Optical Fiber Second generation instrument for HCT The project is a technical collaboration between Indian Institute

More information

The Use of Synchrotron Radiation in Modern Research

The Use of Synchrotron Radiation in Modern Research The Use of Synchrotron Radiation in Modern Research Physics Chemistry Structural Biology Materials Science Geochemical and Environmental Science Atoms, molecules, liquids, solids. Electronic and geometric

More information

Stray Light Rejection in Array Spectrometers

Stray Light Rejection in Array Spectrometers Stray Light Rejection in Array Spectrometers Mike Shaw, Optical Technologies & Scientific Computing Team, National Physical Laboratory, Teddington, Middlesex, UK 1 Overview Basic optical design of an array

More information

Performance of high pressure Xe/TMA in GEMs for neutron and X-ray detection

Performance of high pressure Xe/TMA in GEMs for neutron and X-ray detection Performance of high pressure Xe/TMA in GEMs for neutron and X-ray detection R. Kreuger, C. W. E. van Eijk, Member, IEEE, F. A. F. Fraga, M. M. Fraga, S. T. G. Fetal, R. W. Hollander, Member, IEEE, L. M.

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

3 - Atomic Absorption Spectroscopy

3 - Atomic Absorption Spectroscopy 3 - Atomic Absorption Spectroscopy Introduction Atomic-absorption (AA) spectroscopy uses the absorption of light to measure the concentration of gas-phase atoms. Since samples are usually liquids or solids,

More information

AS 101: Day Lab #2 Summer Spectroscopy

AS 101: Day Lab #2 Summer Spectroscopy Spectroscopy Goals To see light dispersed into its constituent colors To study how temperature, light intensity, and light color are related To see spectral lines from different elements in emission and

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

X-ray photoelectron spectroscopy with a laser-plasma source

X-ray photoelectron spectroscopy with a laser-plasma source Proc. SPIE Vol.3157 (1997) pp.176-183 X-ray photoelectron spectroscopy with a laser-plasma source Toshihisa TOMIE a, Hiroyuki KONDO b, Hideaki SHIMIZU a, and Peixiang Lu a a Electrotechnical Laboratory,

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Developments for the FEL user facility

Developments for the FEL user facility Developments for the FEL user facility J. Feldhaus HASYLAB at DESY, Hamburg, Germany Design and construction has started for the FEL user facility including the radiation transport to the experimental

More information

SOME ASPECTS OF PYROMETRY OF "WHITE" OBJECTS V.E.

SOME ASPECTS OF PYROMETRY OF WHITE OBJECTS V.E. SOME ASPECTS OF PYROMETRY OF "WHITE" OBJECTS V.E. Mosharov, V.N. Radchenko, I.V. Senyuev Central Aerohydrodynamic Institute (TsAGI) 140180 Zhukovsky, Moscow Region, Russia Introduction Model surface temperature

More information

Evaluation of Mo/Si multilayer for EUVL mask blank

Evaluation of Mo/Si multilayer for EUVL mask blank Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Physics 1C OPTICAL SPECTROSCOPY Rev. 2-AH. Introduction

Physics 1C OPTICAL SPECTROSCOPY Rev. 2-AH. Introduction Introduction In this lab you will use a diffraction grating to split up light into its various colors (like a rainbow). You will assemble a spectrometer, incorporating the diffraction grating. A spectrometer

More information

Course Details. Analytical Techniques Based on Optical Spectroscopy. Course Details. Textbook. SCCH 211: Analytical Chemistry I

Course Details. Analytical Techniques Based on Optical Spectroscopy. Course Details. Textbook. SCCH 211: Analytical Chemistry I SCCH 211: Analytical Chemistry I Analytical Techniques Based on Optical Spectroscopy Course Details September 22 October 10 September 22 November 7 November 17 December 1 Topic Period Introduction to Spectrometric

More information

hf = E 1 - E 2 hc = E 1 - E 2 λ FXA 2008 Candidates should be able to : EMISSION LINE SPECTRA

hf = E 1 - E 2 hc = E 1 - E 2 λ FXA 2008 Candidates should be able to : EMISSION LINE SPECTRA 1 Candidates should be able to : EMISSION LINE SPECTRA Explain how spectral lines are evidence for the existence of discrete energy levels in isolated atoms (i.e. in a gas discharge lamp). Describe the

More information

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency. Light We can use different terms to describe light: Color Wavelength Frequency Light is composed of electromagnetic waves that travel through some medium. The properties of the medium determine how light

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

Advanced Spectroscopy Laboratory

Advanced Spectroscopy Laboratory Advanced Spectroscopy Laboratory - Raman Spectroscopy - Emission Spectroscopy - Absorption Spectroscopy - Raman Microscopy - Hyperspectral Imaging Spectroscopy FERGIELAB TM Raman Spectroscopy Absorption

More information

Wavelength Frequency Measurements

Wavelength Frequency Measurements Wavelength Frequency Measurements Frequency: - unit to be measured most accurately in physics - frequency counters + frequency combs (gear wheels) - clocks for time-frequency Wavelength: - no longer fashionable

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not bond But when excited/ionized these atoms attract Bound

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Observation of Atomic Spectra

Observation of Atomic Spectra Observation of Atomic Spectra Introduction In this experiment you will observe and measure the wavelengths of different colors of light emitted by atoms. You will first observe light emitted from excited

More information

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Heidi Dumais Department of Physics and Astronomy, Brigham Young University Abstract - The reflectance and transmittance

More information

2. Discrete means unique, that other states don t overlap it. 3. Electrons in the outer electron shells have greater potential energy.

2. Discrete means unique, that other states don t overlap it. 3. Electrons in the outer electron shells have greater potential energy. 30 Light Emission Answers and Solutions for Chapter 30 Reading Check Questions 1. At these high frequencies, ultraviolet light is emitted. 2. Discrete means unique, that other states don t overlap it.

More information

Atomic Spectra. d sin θ = mλ (1)

Atomic Spectra. d sin θ = mλ (1) Atomic Spectra Objectives: To measure the wavelengths of visible light emitted by atomic hydrogen and verify that the measured wavelengths obey the empirical Rydberg formula. To observe emission spectra

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

Astronomy 203 practice final examination

Astronomy 203 practice final examination Astronomy 203 practice final examination Fall 1999 If this were a real, in-class examination, you would be reminded here of the exam rules, which are as follows: You may consult only one page of formulas

More information

Astronomical frequency comb for calibration of low and medium resolution spectrographs

Astronomical frequency comb for calibration of low and medium resolution spectrographs Astronomical frequency comb for calibration of low and medium resolution spectrographs innofspec at AIP has several years expertise in astronomical instrumentation. innofspec succesfully developed a new

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic spectrum

More information

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence IEPC-2015-91059 / ISTS-2015-b-91059 Presented at Joint Conference of 30th International

More information

Laboratory Atomic Emission Spectrum

Laboratory Atomic Emission Spectrum Laboratory Atomic Emission Spectrum Pre-Lab Questions: Answer the following questions in complete sentences by reading through the Overview and Background sections below. 1. What is the purpose of the

More information

Laserphysik. Prof. Yong Lei & Dr. Yang Xu. Fachgebiet Angewandte Nanophysik, Institut für Physik

Laserphysik. Prof. Yong Lei & Dr. Yang Xu. Fachgebiet Angewandte Nanophysik, Institut für Physik Laserphysik Prof. Yong Lei & Dr. Yang Xu Fachgebiet Angewandte Nanophysik, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Heisenbergbau V 202, Unterpörlitzer Straße

More information

DAY LABORATORY EXERCISE: SPECTROSCOPY

DAY LABORATORY EXERCISE: SPECTROSCOPY AS101 - Day Laboratory: Spectroscopy Page 1 DAY LABORATORY EXERCISE: SPECTROSCOPY Goals: To see light dispersed into its constituent colors To study how temperature, light intensity, and light color are

More information

Survey of EUV Impurity Line Spectra and EUV Bremsstrahlung Continuum in LHD )

Survey of EUV Impurity Line Spectra and EUV Bremsstrahlung Continuum in LHD ) Plasma and Fusion Research: Regular Articles Volume 6, 2402078 (2011) Survey of EUV Impurity Line Spectra and EUV Bremsstrahlung Continuum in LHD ) Chunfeng DONG, Shigeru MORITA 1), Malay Bikas CHOWDHURI

More information

Plasma Spectroscopy in ISTTOK

Plasma Spectroscopy in ISTTOK Plasma Spectroscopy in ISTTOK J. Figueiredo 1, R. B. Gomes 1, T. Pereira 1, H. Fernandes 1, A. Sharakovski 2 1 Associação EURATOM/IST, Centro de Fusão Nuclear, IST, 1049-001 Lisboa, Portugal 2 Association

More information

Interested in exploring science or math teaching as a career?

Interested in exploring science or math teaching as a career? Interested in exploring science or math teaching as a career? Start with Step 1: EDUC 2020 (1 credit) Real experience teaching real kids! No commitment to continue with education courses Registration priority

More information

THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS

THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS INTRODUCTION In recent years, researchers involved in many unrelated scientific disciplines have acquired an interest in accurately

More information

Physics 1CL OPTICAL SPECTROSCOPY Spring 2010

Physics 1CL OPTICAL SPECTROSCOPY Spring 2010 Introduction In this lab, you will use a diffraction grating to split up light into the various colors which make up the different wavelengths of the visible electromagnetic spectrum. You will assemble

More information

PHY410 Optics Exam #3

PHY410 Optics Exam #3 PHY410 Optics Exam #3 NAME: 1 2 Multiple Choice Section - 5 pts each 1. A continuous He-Ne laser beam (632.8 nm) is chopped, using a spinning aperture, into 500 nanosecond pulses. Compute the resultant

More information

Optics. Measuring the line spectra of inert gases and metal vapors using a prism spectrometer. LD Physics Leaflets P

Optics. Measuring the line spectra of inert gases and metal vapors using a prism spectrometer. LD Physics Leaflets P Optics Spectrometer Prism spectrometer LD Physics Leaflets P5.7.1.1 Measuring the line spectra of inert gases and metal vapors using a prism spectrometer Objects of the experiment Adjusting the prism spectrometer.

More information

= nm. = nm. = nm

= nm. = nm. = nm Chemistry 60 Analytical Spectroscopy PROBLEM SET 5: Due 03/0/08 1. At a recent birthday party, a young friend (elementary school) noticed that multicolored rings form across the surface of soap bubbles.

More information

Experiment 4 Radiation in the Visible Spectrum

Experiment 4 Radiation in the Visible Spectrum Experiment 4 Radiation in the Visible Spectrum Emission spectra can be a unique fingerprint of an atom or molecule. The photon energies and wavelengths are directly related to the allowed quantum energy

More information

Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence

Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence Shinji OZAKI, Matsushita Technoresearch Inc. ozaki.s@jp.panasonic.com The chemical states of a SiO 2 /Si govern the conductivity

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information Speckle-free laser imaging using random laser illumination Brandon Redding 1*, Michael A. Choma 2,3*, Hui Cao 1,4* 1 Department of Applied Physics, Yale University, New Haven,

More information

Any first year text, sections on atomic structure, spectral lines and spectrometers

Any first year text, sections on atomic structure, spectral lines and spectrometers Physics 33 Experiment 5 Atomic Spectra References Any first year text, sections on atomic structure, spectral lines and spectrometers Any modern physics text, eg F.K. Richtmeyer, E.H. Kennard and J.N.

More information

Lab 10: Spectroscopy & the Hydrogen Atom Phy208 Fall 2008

Lab 10: Spectroscopy & the Hydrogen Atom Phy208 Fall 2008 Lab 10: Spectroscopy & the Hydrogen Atom Phy208 Fall 2008 Name Section This sheet is the lab document your TA will use to score your lab. It is to be turned in at the end of lab. To receive full credit

More information

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015 Photos placed in horizontal position with even amount of white space between photos and header Wolter Imaging On Z Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range 203 International Workshop on EUV and Soft X-Ray Sources, November 3-7, 203, Dublin, Ireland Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range Vassily

More information

NTUA. A. Georgakopoulou. A. Papayannis1, A. Aravantinos2 NATIONAL TECHNICAL UNIVERSITY OF ATHENS TECHNOLOGICAL EDUCATIONAL INSTIDUTION OF ATHENS SIENA

NTUA. A. Georgakopoulou. A. Papayannis1, A. Aravantinos2 NATIONAL TECHNICAL UNIVERSITY OF ATHENS TECHNOLOGICAL EDUCATIONAL INSTIDUTION OF ATHENS SIENA High Spectral Resolution LIDAR Receivers, to Measure Aerosol to Molecular Scattering Ratio in Bistatic Mode, for use in Atmospheric Monitoring for EAS Detectors E. Fokitis1, P. Fetfatzis1, 1, S. Maltezos1

More information

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas P. J. Skrodzki Acknowledgements This work is supported by the DOE/NNSA Office of Nonproliferation

More information

Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL

Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL R. Kuroda, H. Ogawa, N. Sei, H. Toyokawa, K. Yagi-Watanabe, M. Yasumoto, M. Koike, K. Yamada, T. Yanagida*, T. Nakajyo*, F. Sakai*

More information

Free-electron laser SACLA and its basic. Yuji Otake, on behalf of the members of XFEL R&D division RIKEN SPring-8 Center

Free-electron laser SACLA and its basic. Yuji Otake, on behalf of the members of XFEL R&D division RIKEN SPring-8 Center Free-electron laser SACLA and its basic Yuji Otake, on behalf of the members of XFEL R&D division RIKEN SPring-8 Center Light and Its Wavelength, Sizes of Material Virus Mosquito Protein Bacteria Atom

More information

Name : Roll No. :.. Invigilator s Signature :.. CS/B.Tech/SEM-2/PH-201/2010 2010 ENGINEERING PHYSICS Time Allotted : 3 Hours Full Marks : 70 The figures in the margin indicate full marks. Candidates are

More information

Introduction to Fourier Transform Infrared Spectroscopy

Introduction to Fourier Transform Infrared Spectroscopy molecular spectroscopy Introduction to Fourier Transform Infrared Spectroscopy Part of Thermo Fisher Scientific Introduction What is FT-IR? FT-IR stands for Fourier Transform InfraRed, the preferred method

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

RADIATION SOURCES AT SIBERIA-2 STORAGE RING

RADIATION SOURCES AT SIBERIA-2 STORAGE RING RADIATION SOURCES AT SIBERIA-2 STORAGE RING V.N. Korchuganov, N.Yu. Svechnikov, N.V. Smolyakov, S.I. Tomin RRC «Kurchatov Institute», Moscow, Russia Kurchatov Center Synchrotron Radiation undulator undulator

More information