Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Size: px
Start display at page:

Download "Multilayer Interference Coating, Scattering, Diffraction, Reflectivity"

Transcription

1 Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer mirrors ( ) Photon energy Natural crystals 1 KeV 1 KeV (D. Windt, D. Stearns, J. Kortright) Ch4_F_Feb27.ai

2 Scattering by Density Variations Within a Multilayer Coating Mo/Si (T. Nguyen, CXRO/LBNL) Ch4_F1_Feb27.ai

3 Scattering of Radiation by a Sinusoidal Density Distribution (Atoms or Electrons) A n a (z) B A B A d B k i A θ 2θ k d = 2π d z o k i z k s k s Ch4_F2VG.ai

4 Scattering from Density Variations In the long wavelength limit (λ >> a ) (4.1) (4.2) (4.3) (4.4a) (4.4b) (4.5a) (4.5b) or (4.6a) (4.6b) k i 2θ k d = 2π d z o k s Ch4_Eqs1_6VG.ai

5 Multilayer Mirrors Satisfy the Bragg Condition d Mo Si Mo Si Mo Si Mo Si mλ = 2d sinθ n e θ λ For normal incidence, θ = π/2, first order (m = 1) reflection λ = 2d d = λ/2 if the two layers are approximately equal t λ/4 a quarter-wave plate coating. Ch4_MltlyrMirBragg1.ai

6 Multilayer Mirrors Satisfy the Bragg Condition d Mo Si Mo Si Mo Si Mo Si 4δd mλ = 2d sinθ (1 2 ) m 2 λ 2 n e θ λ For normal incidence, θ = π/2, first order (m = 1) reflection λ = 2d d = λ/2 if the two layers are approximately equal t λ/4 a quarter-wave plate coating. Ch4_MltlyrMirBragg2.ai

7 High Reflectivity Multilayer Coatings Require: Refractive index contrast at the interfaces Minimal absorption in the low-z material Thin high-z layer where possible Γ ; Δτ H /(Δτ H + Δτ L ) Interfaces which are chemically stable with time Minimal interdiffusion at the interfaces Minimal interfacial roughness (no crystallite formation within the layers, no shadowing in the coating process, surface mobility) Thermal stability during illumination Chemically stable vacuum interface (e.g., Si 2 or capping layer) Uniform coating thickness Univ. California Berkeley Multilayer Interference Coating, Scattering, Diffraction, Reflectivity, EE29F, 6 Feb. 27 Ch4_HiReflecMult.ppt

8 High Order Reflections from a Multilayer Coating Measured Calculated internal interference of incoming and outgoing waves Reflectivity m = W/C multilayer 22 layer-pairs d = 36.1 Å λ = 1.54 Å 4 5 E Scattering angle 2θ ( ) 1d Distance from surface (in periods) (Courtesy of Y. Wu and J. Underwood.) Ch4_HiOrdrReflc.ai

9 SOFT X-RAY OPTICS Eberhard Spiller SPIE (1994) Univ. California, Berkeley Multilayer Interference Coating, Scattering, Diffraction, Reflectivity, EE29F, 6 Feb. 27 Ch4_SpillerBook.ai

10 An Angular Scan of a Multilayer Mirror Performs a Fourier-Transform of the Density Profile Univ. California Berkeley Multilayer Interference Coating, Scattering, Diffraction, Reflectivity, EE29F, 6 Feb. 27 Ch4_AngulrScan.ppt

11 Multilayer Interface Mirrors Computational Model 1(Vacuum) 2 (Material A) 3 (Material B) E 1 θ E 2 R E 1 R E 2 t A t B z x j i E j 1 Ej R E j 1 Layer pair N j R E j j + 1 n 2 (A) n 1 (B) n substrate With refractive index n: n n = 1 δ + iβ = 1 a r e λ 2 (f 1 if 2 ) 2π E n Layer pair l (Courtesy of J. Underwood) Ch4_MultlyrIntrfMir.ai

12 Atomic Scattering Factors for Silicon (Z = 14) σ a (barns/atom) = µ(cm 2 /g) E(keV)µ(cm 2 /g) = f Energy (ev) f 1 f 2 µ(cm 2 /g) µ(cm 2 /g) E E E E E E E E E E E+ 1.62E E E E E E E E E f 1 f Silicon (Si) Z = 14 Atomic weight = Edge Energies: E (ev) (Henke and Gullikson; www-cxro.lbl.gov) 1 K ev L ev L ev 99.2 ev L E (ev) 1 Ch2ApC_Tb1F7_Sept5.ai

13 Atomic Scattering Factors for Molybdenum (Z = 42) σ a (barns/atom) = µ(cm 2 /g) E(keV)µ(cm 2 /g) = f Energy (ev) f 1 f 2 µ(cm 2 /g) µ(cm 2 /g) E E E E E E E E E E E E E E E E E E E E E (ev) Edge Energies: (Henke and Gullikson; www-cxro.lbl.gov) 1 f 1 f E (ev) K ev L ev M ev N ev L ev M ev N ev L ev M ev N ev M ev ev M 5 Molybdenum (Mo) Z = 42 Atomic weight = AST 21/EECS 213 Univ. California, Berkeley Ch2ApC_Tb1F12_June28.ai

14 Optimized Reflectivity with Thin High-Z Layers (4.7) (4.8) high-z low-z high-z low-z high-z low-z high-z (Vinogradov and Zeldovich, 1977) (also see Borrmann, 1941) Sharp interfaces needed for scattering Thin high-z layer to minimize absorption Low-Z layer best as a spacer Ch4_OptomizReflcty.ai

15 Computed Reflectivity of a W/C X-Ray Multilayer Mirror Reflectivity W/C λ = 8.34 Å d = 22.5 Å N = 1 Γ = 1/ Glancing angle ( ) (Courtesy of J. Underwood and D. Solina) Ch4_ComputdReflec.ai

16 Mo/Si Multilayer Interference Coating Molybdenum as the scattering layer Silicon as the non-absorbing spacing layer Actually an exaggeration, the complex refractive index of each material plays a role in the reflection process The absorption edge Si-L 3 = 99.2 ev (12.5 nm) Higher photon energies are absorbed in Si, lower photon energies (longer wavelengths) are not. Typically λ = 13.4 nm or more. Molybdenum has relatively good scattering properties in this photon energy (wavelength) region, with limited absorption. Univ. California Berkeley Multilayer Interference Coating, Scattering, Diffraction, Reflectivity, EE29F, 6 Feb. 27 Ch4_MultilyrIntrCtg.ppt

17 A High Quality Mo/Si Multilayer Mirror N = 4 d = 6.7 nm Courtesy of Sasa ˇ Bajt (LLNL) Ch4_HiQualtyMoSi.ai

18 High Reflectivity, Thermally and Environmentally Robust Multilayers Coatings for High Throughput EUV Lithography Univ. California Berkeley Multilayer Interference Coating, Scattering, Diffraction, Reflectivity, EE29F, 6 Feb. 27 Ch4_MoSi_ctngs.1.2.ppt

19 Multilayer Mirrors Have Achieved a Reflectivity of 7% Reflectivity Mo/B 4 C/Si 7% at 13.5 nm FWHM =.55 nm 5 bilayers Wavelength (nm) Courtesy of Sasa ˇ Bajt (LLNL) Ch4_ReflectCurv7.ai

20 Sputtered Deposition of a Multilayer Coating Table rotation Mirror substrate Planetary rotation Rotating table Mirror substrate Mirror substrate Mirror substrate Table grounded Sputtered atoms (target material) moving toward table Deposited thin film Shadow mask Shadow mask Sputtered particles Plasma ions moving towards cathode (target material) Plasma containing magnetic flux lines Target erosion area Target material (cathode) Target for high-z material Erosion area S N S S Target housing (negative cathode) Magnetic flux lines confining plasma Target for low-z material Magnetron Target housing Ch4_SputtrdDepo.ai

21 DC Magnetron Sputtering is Used to Reliably Deposit Multilayer Coatings of Predictable Center Wavelength and Excellent Uniformity Substrates mounted on a rotating platter are swept across each sputter source sequentially to form the multilayer. Modulating the platter velocity provides precision control of radial thickness distribution and absolute film thickness. The substrate is also spun fast about its own axis for azimuthal uniformity. Spinner motor assembly Vacuum chamber Substrate platter Substrate Mo Magnetron sources Si (Courtesy of Jim Folta, LLNL) Extreme Ultraviolet Lithography Univ. California, Berkeley Multilayer Interference Coating, Scattering, Diffraction, Reflectivity, EE29F, 6 Feb. 27 Ch4_DCmagntrn.ai

22 Buried, Trace Amounts of Iron in a Defective Silicon Solar Cell Sample Focal spot 1 µm D focal spot Multilayer coated elliptically bent mirrors Aperture e Synchrotron Source (white radiation) M 2 y x Scanning stage Fluorescent x-rays M 1 Solid state Si (Li) detector Fe contaminated solar cell 32 fg/µm 2 (Courtesy of A. Thompson and J. Underwood, LBNL; and R. Holm, Miles Lab) 1. mm 1.4 mm Ch4_F14VG.ai

23 Microprobe Analysis of Contaminated Soil Ca Cr Fe pg/µm mm 1 mm Ni Cu.2 pg/µm 2 Zn.1 pg/µm 2.3 pg/µm 2 (Courtesy of T. Tokunaga; and A. Thompson, LBNL) Ch4_F15VG.ai

24 High Resolution X-Ray Diffraction Under High Pressure Using Multilayer Coated Focusing Optics Synchrotron radiation e Aperture Diamond anvil cell Focused x-rays Sample under pressure ( 3 GPa) Gasket Pressure medium 1 16 kev x-rays Multilayer coated mirrors Scattered x-rays Film Diamond anvil cell 2θ H.K. Mao et al., Science 277, 122 (29 Aug. 1997) Nature 396, 741 (24 Dec. 1998) Ch4_F16aVG.ai

25 NATURE 396, 741(24/31 DECEMBER 1998) Ch4_ElasticyRheolgy.ai

26 Recent Progress in Multilayer Mirrors Peak reflectance (%) Near-Normal Incidence Multilayer Mirrors Sc Ti V C H 2 O window Si Au 1 1 Wavelength (nm) RecentProgMultiilyrMir.ai

27 The Cassegrain Telescope Sun Convex secondary mirror Concave primary mirror Film or CCD Ch4_CassegrainTele.ai

28 EUV Image of the Solar Corona Showing Loops Near the Solar Limb (Courtesy of L.Golub, Harvard-Smithsonian and T. Barbee, LLNL) λ = 17.3 nm (71.7 ev) Ch4_F1VG_Sept5.ai

29 Dynamics of the Solar Corona (Courtesy of L. Golub, Harvard-Smithsonian) Ch4_DynamicsSolar.ai

30 Extreme Ultraviolet (EUV) Lithography Reflective mask Absorber pattern λ = 13 nm Multilayer mirror 4:1 reduction optics, aspheric, multilayer coated Wafer to record 5 nm or smaller features, over cm 2 dimensions Extreme Ultraviolet Lithography Ch4_F11VG.ai

31 Polarization Studies of Magnetic Materials (a) Fe/Cr transmission sample Ι H Detector NdFeB magnets D Laterally graded W/B 4 C multilayer mirror (b) A B A B A B A B A Substrate (c) Reflectance (arb. units).7 22 mm Center +22 mm ω (ev) (d) Rotation (degrees) H parallel k 4 H anti-parallel k ω (ev) (Courtesy of J. Kortright and M. Rice, LBNL; and R. Carr, Stanford) Ch4_PolarizStudies.ai

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index David Attwood University of California, Berkeley (http://www.coe.berkeley.edu/ast/srms) Scattering

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic spectrum

More information

Design of multilayer X-ray mirrors and systems

Design of multilayer X-ray mirrors and systems Design of multilayer X-ray mirrors and systems T. Holz*, R. Dietsch*, S. Braun**, A. Leson** * AXO DRESDEN GmbH, Germany ** Fraunhofer IWS Dresden, Germany Introduction CHARACTERISTICS 1D periodicity of

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

Multilayer coating facility for the HEFT hard X-ray telescope

Multilayer coating facility for the HEFT hard X-ray telescope Multilayer coating facility for the HEFT hard X-ray telescope Carsten P. Jensen a, Finn E. Christensen a, Hubert Chen b, Erik B. W.Smitt a, Eric Ziegler c a Danish Space Research Institute (Denmark); b

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

Multilayer optics for next-generation EUVL systems

Multilayer optics for next-generation EUVL systems Multilayer optics for next-generation EUVL systems Regina Soufli regina.soufli@llnl.gov Lawrence Livermore National Laboratory 2009 International Workshop on EUV Lithography, Honolulu, Oahu July 16, 2009

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Electron-Acoustic Wave in a Plasma

Electron-Acoustic Wave in a Plasma Electron-Acoustic Wave in a Plasma 0 (uniform ion distribution) For small fluctuations, n ~ e /n 0

More information

272 Nuclear Instruments and Methods m Physics Research A291 (1990) North-Holland

272 Nuclear Instruments and Methods m Physics Research A291 (1990) North-Holland 272 Nuclear Instruments and Methods m Physics Research A291 (1990) 272-277 North-Holland MULTILAYER OPTICAL ELEMENTS FOR GENERATION AND ANALYSIS OF CIRCULARLY POLARIZED X-RAYS J B KORTRIGHT and JH UNDERWOOD

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich 1, P. Ross 1, J. Koch 1, A. MacPhee 2, O. Landen 2, D. Bradley 2, P. Bell 2, S. Nagel 2, T. Hilsabeck 4, N. Chen 5, S.

More information

A neutron polariser based on magnetically remanent Fe/Si supermirrors

A neutron polariser based on magnetically remanent Fe/Si supermirrors Jochen Stahn Laboratorium für Neutronenstreuung ETH Zürich & Paul Scherrer Institut A neutron polariser based on magnetically remanent Fe/Si supermirrors ILL, Grenoble 8. 0. 2006 neutron optics group PSI:

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich, P. Ross, J. Koch (NSTec, LLC) A. MacPhee, O. Landen, D. Bradley, P. Bell, S. Nagel (LLNL) T. Hilsabeck (GA) N. Chen, S.

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

X-ray Spectroscopy. Interaction of X-rays with matter XANES and EXAFS XANES analysis Pre-edge analysis EXAFS analysis

X-ray Spectroscopy. Interaction of X-rays with matter XANES and EXAFS XANES analysis Pre-edge analysis EXAFS analysis X-ray Spectroscopy Interaction of X-rays with matter XANES and EXAFS XANES analysis Pre-edge analysis EXAFS analysis Element specific Sensitive to low concentrations (0.01-0.1 %) Why XAS? Applicable under

More information

Astronomy 203 practice final examination

Astronomy 203 practice final examination Astronomy 203 practice final examination Fall 1999 If this were a real, in-class examination, you would be reminded here of the exam rules, which are as follows: You may consult only one page of formulas

More information

A facility for Femtosecond Soft X-Ray Imaging on the Nanoscale

A facility for Femtosecond Soft X-Ray Imaging on the Nanoscale A facility for Femtosecond Soft X-Ray Imaging on the Nanoscale Jan Lüning Outline Scientific motivation: Random magnetization processes Technique: Lensless imaging by Fourier Transform holography Feasibility:

More information

Kirkpatrick Baez X ray optics for astrophysics: Recent status

Kirkpatrick Baez X ray optics for astrophysics: Recent status Contrib. Astron. Obs. Skalnaté Pleso 8, 37 5, (18) Kirkpatrick Baez X ray optics for astrophysics: Recent status R. Hudec 1,, L. Pina 3, V. Marsikova 1, O. Nentvich 1, M. Urban 1 and A. Inneman 1 1 Czech

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation. Problem Solving picture θ f = 10 m s =1 cm equation rearrange numbers with units θ factors to change units s θ = = f sinθ fθ = s / cm 10 m f 1 m 100 cm check dimensions 1 3 π 180 radians = 10 60 arcmin

More information

Preliminary characterization of first multilayer mirrors for the soft X-ray water-window

Preliminary characterization of first multilayer mirrors for the soft X-ray water-window Preliminary characterization of first multilayer mirrors for the soft X-ray water-window Gianfelice Cinque, Augusto Marcelli, Antonio Grilli and Agostino Raco INFN, Laboratori Nazionali di Frascati, via

More information

FUNDAMENTAL PARAMETER METHOD FOR THE LOW ENERGY REGION INCLUDING CASCADE EFFECT AND PHOTOELECTRON EXCITATION

FUNDAMENTAL PARAMETER METHOD FOR THE LOW ENERGY REGION INCLUDING CASCADE EFFECT AND PHOTOELECTRON EXCITATION Copyright (c)jcpds-international Centre for Diffraction Data 2002, Advances in X-ray Analysis, Volume 45. 511 FUNDAMENTAL PARAMETER METHOD FOR THE LOW ENERGY REGION INCLUDING CASCADE EFFECT AND PHOTOELECTRON

More information

SIMBOL-X X optics: design and implementation

SIMBOL-X X optics: design and implementation SIMBOL-X X optics: design and implementation Giovanni Pareschi, Oberto Citterio INAF Brera Astronomical Observatory 23807 Merate (Lc) ITALY E-mail: pareschi@merate.mi.astro.it 30 m Outline the SIMBOL-X

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy Introduction Principles Instrumentation Qualitative analysis Quantitative analysis Depth profiling Mapping Examples The Auger

More information

Why Go To Space? Leon Golub, SAO BACC, 27 March 2006

Why Go To Space? Leon Golub, SAO BACC, 27 March 2006 Why Go To Space? Leon Golub, SAO BACC, 27 March 2006 Solar Observation Observation of the Sun has a long and distinguished history Especially important as calendar where e.g. seasonal monsoons produced

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,800 116,000 120M Open access books available International authors and editors Downloads Our

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Determination of Optical Constants of Thin Films in Extreme Ultraviolet Wavelength Region by an Indirect Optical Method

Determination of Optical Constants of Thin Films in Extreme Ultraviolet Wavelength Region by an Indirect Optical Method Journal of the Optical Society of Korea Vol. 17, No. 1, February 013, pp. 38-43 DOI: http://dx.doi.org/10.3807/josk.013.17.1.038 Determination of Optical Constants of Thin Films in Extreme Ultraviolet

More information

LAB 01 X-RAY EMISSION & ABSORPTION

LAB 01 X-RAY EMISSION & ABSORPTION LAB 0 X-RAY EMISSION & ABSORPTION REPORT BY: TEAM MEMBER NAME: Ashley Tsai LAB SECTION No. 05 GROUP 2 EXPERIMENT DATE: Feb., 204 SUBMISSION DATE: Feb. 8, 204 Page of 3 ABSTRACT The goal of this experiment

More information

Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities

Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities Advances in Optical Technologies Volume 2012, Article ID 976868, 8 pages doi:10.1155/2012/976868 Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR

More information

September 14, Monday 4. Tools for Solar Observations-II

September 14, Monday 4. Tools for Solar Observations-II September 14, Monday 4. Tools for Solar Observations-II Spectrographs. Measurements of the line shift. Spectrograph Most solar spectrographs use reflection gratings. a(sinα+sinβ) grating constant Blazed

More information

Vistas in Axion Physics 25 April 2012

Vistas in Axion Physics 25 April 2012 Vistas in Axion Physics 25 April 2012 This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under contract DE-AC52-07NA27344. Lawrence Livermore

More information

NEW CORRECTION PROCEDURE FOR X-RAY SPECTROSCOPIC FLUORESCENCE DATA: SIMULATIONS AND EXPERIMENT

NEW CORRECTION PROCEDURE FOR X-RAY SPECTROSCOPIC FLUORESCENCE DATA: SIMULATIONS AND EXPERIMENT Copyright JCPDS - International Centre for Diffraction Data 2005, Advances in X-ray Analysis, Volume 48. 266 NEW CORRECTION PROCEDURE FOR X-RAY SPECTROSCOPIC FLUORESCENCE DATA: SIMULATIONS AND EXPERIMENT

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Using Multilayer Optics to Measure X-ray Polarization. Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M.

Using Multilayer Optics to Measure X-ray Polarization. Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M. Using Multilayer Optics to Measure X-ray Polarization Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M. Weisskopf (MSFC) Background: an Attempt to Measure EUV Polarization -

More information

Beyond the Geometric toward the Wave Optical Approach in the Design of Curved Crystal and Multilayer Optics for EDXAS

Beyond the Geometric toward the Wave Optical Approach in the Design of Curved Crystal and Multilayer Optics for EDXAS Beyond the Geometric toward the Wave Optical Approach in the Design of Curved Crystal and Multilayer Optics for EDXAS Vito Mocella CNR IMM Napoli Units, Italy In collaboration with C. Ferrero, C. Morawe,

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

Optics and Telescope. Chapter Six

Optics and Telescope. Chapter Six Optics and Telescope Chapter Six ASTR 111 003 Fall 2007 Lecture 06 Oct. 09, 2007 Introduction To Modern Astronomy I: Solar System Introducing Astronomy (chap. 1-6) Planets and Moons (chap. 7-15) Chap.

More information

Stigmatic X-ray imaging using a single spherical Laue crystal

Stigmatic X-ray imaging using a single spherical Laue crystal Stigmatic X-ray imaging using a single spherical Laue crystal M. Sanchez Del Rio, D. Bianchi, T. A. Pikuz, A. Ya Faenov, S. A. Jr Pikuz, L. Delgado-Aparicio, N. Pablant, M. Bitter, K. Hill To cite this

More information

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017 ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems Prof. Peter Bermel April 12, 2017 Ideal Selective Solar Absorber Efficiency Limits Ideal cut-off wavelength for a selective

More information

Madrid, Spain. Center, Palo Alto, CA 94304, US ABSTRACT

Madrid, Spain. Center, Palo Alto, CA 94304, US ABSTRACT In-band and out-of-band reflectance calibrations of the EUV multilayer mirrors of the Atmospheric Imaging Assembly instrument aboard the Solar Dynamics Observatory Regina Soufli 1*, Eberhard Spiller 1,

More information

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters Disclaimer: Chapter 29 Alternating-Current Circuits (1) This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters 29-33. LC circuit: Energy stored LC

More information

Atomic Physics. Chapter 6 X ray. Jinniu Hu 24/12/ /20/13

Atomic Physics. Chapter 6 X ray. Jinniu Hu 24/12/ /20/13 Atomic Physics Chapter 6 X ray 11/20/13 24/12/2018 Jinniu Hu 1!1 6.1 The discovery of X ray X-rays were discovered in 1895 by the German physicist Wilhelm Roentgen. He found that a beam of high-speed electrons

More information

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence vnaoki Awaji (Manuscript received December 13, 21) We have developed a grazing incidence

More information

Auger Electron Spectroscopy

Auger Electron Spectroscopy Auger Electron Spectroscopy Auger Electron Spectroscopy is an analytical technique that provides compositional information on the top few monolayers of material. Detect all elements above He Detection

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Interaction X-rays - Matter

Interaction X-rays - Matter Interaction X-rays - Matter Pair production hν > M ev Photoelectric absorption hν MATTER hν Transmission X-rays hν' < hν Scattering hν Decay processes hν f Compton Thomson Fluorescence Auger electrons

More information

X- & γ-ray Instrumentation

X- & γ-ray Instrumentation X- & γ-ray Instrumentation Used nuclear physics detectors Proportional Counters Scintillators The Dark Ages Simple collimators HEAO A1 & A2: 2 x 8 degree field of view Confusion limit is about 200 sources

More information

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR Copyright(c)JCPDS-International Centre for Diffraction Data 2000,Advances in X-ray Analysis,Vol.43 218 REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR S. M. Owens Laboratory for High Energy Astrophysics,

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Lobster-Eye Hard X-Ray Telescope Mirrors

Lobster-Eye Hard X-Ray Telescope Mirrors Lobster-Eye Hard X-Ray Telescope Mirrors Victor Grubsky, Michael Gertsenshteyn, Keith Shoemaker, Igor Mariyenko, and Tomasz Jannson Physical Optics Corporation, Torrance, CA Mirror Technology Days 007

More information

XPDF. EPDIC15, Bari, Italy, 14/06/16 MS06: Progress in instrumentation. The New X-ray Pair Distribution Function Beamline at Diamond Light Source

XPDF. EPDIC15, Bari, Italy, 14/06/16 MS06: Progress in instrumentation. The New X-ray Pair Distribution Function Beamline at Diamond Light Source XPDF The New X-ray Pair Distribution Function Beamline at Diamond Light Source Phil Chater Beamline Scientist, XPDF (I15-1) EPDIC15, Bari, Italy, 14/06/16 MS06: Progress in instrumentation XPDF Acknowledgements

More information

On the use of Kumakhov Polycapillaries to improve laboratory

On the use of Kumakhov Polycapillaries to improve laboratory ICXOM Frascati (INFN - LNF) 25-30 September 2005 On the use of Kumakhov Polycapillaries to improve laboratory Energy Dispersive X-ray X Diffractometry and Reflectometry B. Paci 1, V. Rossi Albertini 1,

More information

Basics of Synchrotron Radiation Beamlines and Detectors. Basics of synchrotron radiation X-ray optics as they apply to EXAFS experiments Detectors

Basics of Synchrotron Radiation Beamlines and Detectors. Basics of synchrotron radiation X-ray optics as they apply to EXAFS experiments Detectors Basics of Synchrotron Radiation Beamlines and Detectors Basics of synchrotron radiation X-ray optics as they apply to EXAFS experiments Detectors Important properties of Synchrotron Radiation Tunability

More information

Chemistry Instrumental Analysis Lecture 19 Chapter 12. Chem 4631

Chemistry Instrumental Analysis Lecture 19 Chapter 12. Chem 4631 Chemistry 4631 Instrumental Analysis Lecture 19 Chapter 12 There are three major techniques used for elemental analysis: Optical spectrometry Mass spectrometry X-ray spectrometry X-ray Techniques include:

More information

Structure analysis: Electron diffraction LEED TEM RHEED

Structure analysis: Electron diffraction LEED TEM RHEED Structure analysis: Electron diffraction LEED: Low Energy Electron Diffraction SPA-LEED: Spot Profile Analysis Low Energy Electron diffraction RHEED: Reflection High Energy Electron Diffraction TEM: Transmission

More information

X-RAY SPECTRA. Theory:

X-RAY SPECTRA. Theory: 12 Oct 18 X-ray.1 X-RAY SPECTRA In this experiment, a number of measurements involving x-rays will be made. The spectrum of x-rays emitted from a molybdenum target will be measured, and the experimental

More information

MEASUREMENT OF TEMPORAL RESOLUTION AND DETECTION EFFICIENCY OF X-RAY STREAK CAMERA BY SINGLE PHOTON IMAGES

MEASUREMENT OF TEMPORAL RESOLUTION AND DETECTION EFFICIENCY OF X-RAY STREAK CAMERA BY SINGLE PHOTON IMAGES Proceedings of IBIC212, Tsukuba, Japan MEASUREMENT OF TEMPORAL RESOLUTION AND DETECTION EFFICIENCY OF X-RAY STREAK CAMERA BY SINGLE PHOTON IMAGES A. Mochihashi, M. Masaki, S. Takano, K. Tamura, H. Ohkuma,

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films David L. Windt Reflective X-ray Optics LLC, 1361 Amsterdam Ave., Suite 3B, New York, NY 10027 ABSTRACT We have

More information

Betatron radiation from a hybrid self-modulated wakefield and direct laser accelerator

Betatron radiation from a hybrid self-modulated wakefield and direct laser accelerator Betatron radiation from a hybrid self-modulated wakefield and direct laser accelerator 1, N. Lemos 2, J.L. Shaw 2, B.B. Pollock 1, G. Goyon 1, W. Schumaker 3, F. Fiuza 3, A. Saunders 4, K. A. Marsh 2,

More information

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Heidi Dumais Department of Physics and Astronomy, Brigham Young University Abstract - The reflectance and transmittance

More information

Astronomy 114. Lecture 27: The Galaxy. Martin D. Weinberg. UMass/Astronomy Department

Astronomy 114. Lecture 27: The Galaxy. Martin D. Weinberg. UMass/Astronomy Department Astronomy 114 Lecture 27: The Galaxy Martin D. Weinberg weinberg@astro.umass.edu UMass/Astronomy Department A114: Lecture 27 18 Apr 2007 Read: Ch. 25,26 Astronomy 114 1/23 Announcements Quiz #2: we re

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Diffractometer. Geometry Optics Detectors

Diffractometer. Geometry Optics Detectors Diffractometer Geometry Optics Detectors Diffractometers Debye Scherrer Camera V.K. Pecharsky and P.Y. Zavalij Fundamentals of Powder Diffraction and Structural Characterization of Materials. Diffractometers

More information

Extreme ultraviolet polarizing optics using bare and aluminum-coated silicon carbide

Extreme ultraviolet polarizing optics using bare and aluminum-coated silicon carbide University of New Orleans ScholarWorks@UNO Electrical Engineering Faculty Publications Department of Electrical Engineering 10-1-1995 Extreme ultraviolet polarizing optics using bare and aluminum-coated

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Overview of scattering, diffraction & imaging in the TEM

Overview of scattering, diffraction & imaging in the TEM Overview of scattering, diffraction & imaging in the TEM Eric A. Stach Purdue University Scattering Electrons, photons, neutrons Radiation Elastic Mean Free Path (Å)( Absorption Length (Å)( Minimum Probe

More information

Photoemission Spectroscopy

Photoemission Spectroscopy FY13 Experimental Physics - Auger Electron Spectroscopy Photoemission Spectroscopy Supervisor: Per Morgen SDU, Institute of Physics Campusvej 55 DK - 5250 Odense S Ulrik Robenhagen,

More information

High-Resolution. Transmission. Electron Microscopy

High-Resolution. Transmission. Electron Microscopy Part 4 High-Resolution Transmission Electron Microscopy 186 Significance high-resolution transmission electron microscopy (HRTEM): resolve object details smaller than 1nm (10 9 m) image the interior of

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Damage to optics under irradiations with the intense EUV FEL pulses

Damage to optics under irradiations with the intense EUV FEL pulses Damage to optics under irradiations with the intense EUV FEL pulses Ryszard Sobierajski 1, Eric Louis 2 1 Institute of Physics PAS, 2 Universiteit Twente Damage to optics - motivation Properties of the

More information

OPSE FINAL EXAM Fall 2015 YOU MUST SHOW YOUR WORK. ANSWERS THAT ARE NOT JUSTIFIED WILL BE GIVEN ZERO CREDIT.

OPSE FINAL EXAM Fall 2015 YOU MUST SHOW YOUR WORK. ANSWERS THAT ARE NOT JUSTIFIED WILL BE GIVEN ZERO CREDIT. CLOSED BOOK. Equation Sheet is provided. YOU MUST SHOW YOUR WORK. ANSWERS THAT ARE NOT JUSTIFIED WILL BE GIVEN ZERO CREDIT. ALL NUMERICAL ANSERS MUST HAVE UNITS INDICATED. (Except dimensionless units like

More information

Scattering Techniques and Geometries How to choose a beamline. Christopher J. Tassone

Scattering Techniques and Geometries How to choose a beamline. Christopher J. Tassone Scattering Techniques and Geometries How to choose a beamline Christopher J. Tassone Why Care About Geometries? How do you decide which beamline you want to use? Questions you should be asking Do I want

More information

Overview of observational methods and instruments: spectrographs. Sergei Shestov

Overview of observational methods and instruments: spectrographs. Sergei Shestov Overview of observational methods and instruments: spectrographs Sergei Shestov 7 Feb 2018 SIDC seminar: spectrographs 2 Outline Scientific importance of spectroscopic observations Solar spectra Methods

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist 12.141 Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist Massachusetts Institute of Technology Electron Microprobe Facility Department of Earth, Atmospheric and Planetary

More information

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist 12.141 Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist Massachusetts Institute of Technology Electron Microprobe Facility Department of Earth, Atmospheric and Planetary

More information

Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV

Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV Thorium-Based Thin Films as Highly Reflective Mirrors in the EUV Jed E. Johnson*, David D. Allred* +, R. Steven Turley*, William R. Evans*, and Richard L. Sandberg** *Brigham Young University, **now Univ.

More information

Structure of Surfaces

Structure of Surfaces Structure of Surfaces C Stepped surface Interference of two waves Bragg s law Path difference = AB+BC =2dsin ( =glancing angle) If, n =2dsin, constructive interference Ex) in a cubic lattice of unit cell

More information

Swanning about in Reciprocal Space. Kenneth, what is the wavevector?

Swanning about in Reciprocal Space. Kenneth, what is the wavevector? Swanning about in Reciprocal Space or, Kenneth, what is the wavevector? Stanford Synchrotron Radiation Laboratory Principles The relationship between the reciprocal lattice vector and the wave vector is

More information

Carbon Dating The decay of radioactive nuclei can be used to measure the age of artifacts, fossils, and rocks. The half-life of C 14 is 5730 years.

Carbon Dating The decay of radioactive nuclei can be used to measure the age of artifacts, fossils, and rocks. The half-life of C 14 is 5730 years. Carbon Dating The decay of radioactive nuclei can be used to measure the age of artifacts, fossils, and rocks. The half-life of C 14 is 5730 years. a) If a sample shows only one-fourth of its estimated

More information

MIDTERM 3 REVIEW SESSION. Dr. Flera Rizatdinova

MIDTERM 3 REVIEW SESSION. Dr. Flera Rizatdinova MIDTERM 3 REVIEW SESSION Dr. Flera Rizatdinova Summary of Chapter 23 Index of refraction: Angle of reflection equals angle of incidence Plane mirror: image is virtual, upright, and the same size as the

More information

Presentation by Indian Delegation. to 49 th STSC UNCOPUOS. February 2012 Vienna

Presentation by Indian Delegation. to 49 th STSC UNCOPUOS. February 2012 Vienna Presentation by Indian Delegation to 49 th STSC UNCOPUOS February 2012 Vienna ASTROSAT Astrosat is India s first dedicated multiwavelength astronomy satellite with a capability to observe target sources

More information

ECE Semiconductor Device and Material Characterization

ECE Semiconductor Device and Material Characterization ECE 4813 Semiconductor Device and Material Characterization Dr. Alan Doolittle School of Electrical and Computer Engineering Georgia Institute of Technology As with all of these lecture slides, I am indebted

More information

Surface Sensitivity & Surface Specificity

Surface Sensitivity & Surface Specificity Surface Sensitivity & Surface Specificity The problems of sensitivity and detection limits are common to all forms of spectroscopy. In its simplest form, the question of sensitivity boils down to whether

More information