Measurement of EUV scattering from Mo/Si multilayer mirrors

Size: px
Start display at page:

Download "Measurement of EUV scattering from Mo/Si multilayer mirrors"

Transcription

1 Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov (Miyazaki, JAPAN)

2 Outline - Introduction - Sample substrates before and after depositing Mo/Si multilayer - Reflectivity and angular scattering distribution - Summary June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 2

3 Introduction(1) Numerous EUV multilayer mirrors will be be employed in in EUV lithography system. Illumination Optics Mask Mask Stage Target Condenser Mirror Projection Optics Laser EUV Source Wafer Stage Wafer June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 3

4 Introduction(2) In EUV multilayer-coated reflective optics, not only surface roughness of mirror substrates, but roughness caused by multilayer deposition significantly affects its performance. (1) We observed the surface roughness of Mo/Si multilayer coatings deposited by ion beam sputtering. Surface profiles were measured by AFM before and after coating multilayer. Power spectral density (PSD) was calculated to evaluate surface roughness. (2) We measured EUV reflectivity and angular distribution of scattering. June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 4

5 Sample substrates & multilayer deposition -- We prepared 3 polished fused silica substrates (sample A, A, B and C). -- Mo/Si multilayers were deposited on on the substrates by by ion beam sputtering. Mo/Si multilayers Number of pairs: 50pairs Layer period: ~7.1 nm (Mo: ~2.5nm, Si: ~4.6nm) June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 5

6 AFM images (1x1µm) of sample substrates Sample:A Before deposition After deposition 0.136nmRMS 0.112nmRMS Sample:B 0.155nmRMS 0.117nmRMS June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 6

7 AFM images (1x1µm) of sample substrates Sample:C 0.285nmRMS 0.161nmRMS June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 7

8 PSDs of sample surfaces After Sample:A Before 10µm 1µm 100nm 10nm Sample:A Sample:C 100nm Before After June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 8 Sample:A 300nm Sample:B After Before -- Substrate roughness in in the the region of of structure size size of of less less than than nm nm were reduced by by Mo/Si multilayer deposition by by ion ion beam sputtering. -- Sample B and and C have high high roughness in in the the region of of structure size size of of more than than nm nm and and 100nm respectively.

9 EUV reflectivity of Mo/Si multilayers Sample A Sample B Sample C Peak reflectivity Sample A: 67.7% Sample B: 67.1% Measured EUV reflectivity Sample C: 65.7% Measured at Photon Factory BL-12A (KEK) Angle of incidence : 14 deg June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 9

10 Measurement of EUV angular scattering distribution Intensity 2θ 2θ Reflected beam SR-ring Monochromator detector (Photomultiplier) λ= 13.4nm scattering slit Sample Measured Measured at at Photon Photon Factory Factory BL-12A BL-12A (KEK) (KEK) Wavelength Wavelength :: nm nm Angle Angle of of incidence incidence :: deg deg June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 10

11 EUV angular scattering distribution -- The The scattering intensities of of samples B and and C were were higher higher than than that that of of sample A at at less less than than 3 degrees and and 8 degrees respectively degrees and and 8 degrees correspond to to 100nm 100nm and and 300nm 300nm in in the the structure size size of of surface roughness. Sample A 300nm 2.6) Sample B Sample C 100nm 7.7) June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 11

12 Scattering from a single surface λ: wavelength R: the normal incidence reflectivity - Equation (1) is the Born approximation for scattering from a single surface *. - In the case of small roughness, near normal incidence and small scattering angle, scattering from a multilayer is approximated by equation (1) *. * E. Gullikson Proc. SPIE 3331 pp72-80 June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 12

13 June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 13 Scattering from multilayer - Optical path difference of reflected beams are same as wavelength (=13.4 nm ) d * cos θ d * cos( θ+α) θ α High reflectivity - Optical path difference of scattered beams are different from wavelength (=13.4 nm) Scattered intensity will be lower than that calculated by using equation (1)

14 Calculation of angular scattering distribution direct beam The angular distribution of scattered EUV light deduced from PSD by using equation (1) 1/Io di/dω Measured angular distribution of EUV light. Scattering Angle (deg) Calculation which take account of the effect of optical path difference June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 14

15 Calculation of angular scattering distribution 1/Io di/dω direct beam Scattering Angle (deg) The measured EUV angler scattering distribution and the calculated EUV angler scattering distribution agreed well. Calculated from PSD Measured data June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 15

16 Scattering on rough surface Incidence beam Reflected beam θ Scattering angle is is depend on on the spatial frequency of of roughness θ scattered L=d sin(14+θ) d cos(14) =d (0.242(cosθ-1)+0.970sinθ) d sinθ approx. =λ (=13.4nm) d June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 16

17 Scattering loss of reflectivity Scattering loss: The total value of scattering intensity integrated throughout the whole range of solid angles Total scattering loss Dependence of the scattering loss on the region of spatial frequency of roughness June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 17

18 The loss of EUV reflectivity due to scattering By By adding the the scattering loss loss to to the the peak reflectivity, the the total total intensity became the the same for for all all samples. Measured peak reflectivity Scattering loss 68~68.5% Scattering loss June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 18

19 Summary -- EUV angler scattering distribution and the surface profile of of substrate (PSDs) agreed well. -- By calculating the total scattered EUV intensity, the scattering loss of of the reflectivity was estimated for each sample. June rd EUVL Symposium Nov (Miyazaki JAPAN) Slide 19

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Evaluation of Mo/Si multilayer for EUVL mask blank

Evaluation of Mo/Si multilayer for EUVL mask blank Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic spectrum

More information

Multilayer optics for next-generation EUVL systems

Multilayer optics for next-generation EUVL systems Multilayer optics for next-generation EUVL systems Regina Soufli regina.soufli@llnl.gov Lawrence Livermore National Laboratory 2009 International Workshop on EUV Lithography, Honolulu, Oahu July 16, 2009

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Design of multilayer X-ray mirrors and systems

Design of multilayer X-ray mirrors and systems Design of multilayer X-ray mirrors and systems T. Holz*, R. Dietsch*, S. Braun**, A. Leson** * AXO DRESDEN GmbH, Germany ** Fraunhofer IWS Dresden, Germany Introduction CHARACTERISTICS 1D periodicity of

More information

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF Solutions with light meet challenges and offer opportunities Fraunhofer IOF Multilayer coating for EUV collector mirrors 2011 International Workshop on EUV and Soft X- Ray Sources Hagen Pauer, Marco Perske,

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

EUV-collector mirrors for high-power LPP sources

EUV-collector mirrors for high-power LPP sources EUV-collector mirrors for high-power LPP sources EUV Source Workshop Torsten Feigl, Sergiy Yulin, Nicolas Benoit, Norbert Kaiser Fraunhofer IOF Jena Norbert Böwering, Oleh Khodykin, David Brandt Cymer,

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, WISE 2000, International Workshop on Spectroscopic Ellipsometry, 8 9 May 2000 DUV (150 350nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, Pierre BOHER,,

More information

SIMBOL-X X optics: design and implementation

SIMBOL-X X optics: design and implementation SIMBOL-X X optics: design and implementation Giovanni Pareschi, Oberto Citterio INAF Brera Astronomical Observatory 23807 Merate (Lc) ITALY E-mail: pareschi@merate.mi.astro.it 30 m Outline the SIMBOL-X

More information

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development Paola Zuppella Padova Palazzo Bo Anatomical theatre St Anthony Cathedral Institutions University of

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films David L. Windt Reflective X-ray Optics LLC, 1361 Amsterdam Ave., Suite 3B, New York, NY 10027 ABSTRACT We have

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation. Problem Solving picture θ f = 10 m s =1 cm equation rearrange numbers with units θ factors to change units s θ = = f sinθ fθ = s / cm 10 m f 1 m 100 cm check dimensions 1 3 π 180 radians = 10 60 arcmin

More information

Image Degradation from Surface Scatter in EUV Optics

Image Degradation from Surface Scatter in EUV Optics Image Degradation from Surface Scatter in EUV Optics D. P. Gaines, T. P. Daly, D. G. Steams, B. LaFontaine, D. W. Sweeney, D. Fuchs This paper was prepared for submittal to the Optical Society of America

More information

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers v b Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers S P Vernon, D R Kania, P A Kearney, R A Levesque Lawrence Livermore National

More information

Damage to optics under irradiations with the intense EUV FEL pulses

Damage to optics under irradiations with the intense EUV FEL pulses Damage to optics under irradiations with the intense EUV FEL pulses Ryszard Sobierajski 1, Eric Louis 2 1 Institute of Physics PAS, 2 Universiteit Twente Damage to optics - motivation Properties of the

More information

Early Development of Dispersive X-Ray Absorption Spectrometer and Recent Extension of Dispersive Optics to Quick X-ray Reflectometory

Early Development of Dispersive X-Ray Absorption Spectrometer and Recent Extension of Dispersive Optics to Quick X-ray Reflectometory Early Development of Dispersive X-Ray Absorption Spectrometer and Recent Extension of Dispersive Optics to Quick X-ray Reflectometory T. Matsushita, Photon Factory KEK Tsukuba, Ibaraki, Japan outline laboratory

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich 1, P. Ross 1, J. Koch 1, A. MacPhee 2, O. Landen 2, D. Bradley 2, P. Bell 2, S. Nagel 2, T. Hilsabeck 4, N. Chen 5, S.

More information

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures M.Y. Chiu, C.-H. Chang, F.-Y. Chang, and Peichen Yu, Green Photonics Laboratory Department of Photonics National

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Lobster-Eye Hard X-Ray Telescope Mirrors

Lobster-Eye Hard X-Ray Telescope Mirrors Lobster-Eye Hard X-Ray Telescope Mirrors Victor Grubsky, Michael Gertsenshteyn, Keith Shoemaker, Igor Mariyenko, and Tomasz Jannson Physical Optics Corporation, Torrance, CA Mirror Technology Days 007

More information

2D XRD Imaging by Projection-Type X-Ray Microscope

2D XRD Imaging by Projection-Type X-Ray Microscope 0/25 National Institute for Materials Science,Tsukuba, Japan 2D XRD Imaging by Projection-Type X-Ray Microscope 1. Introduction - What s projection-type X-ray microscope? 2. Examples for inhomogeneous/patterned

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

SSRL XAS Beam Lines Soft X-ray

SSRL XAS Beam Lines Soft X-ray SSRL SMB Summer School July 20, 2010 SSRL XAS Beam Lines Soft X-ray Thomas Rabedeau SSRL Beam Line Development Objective/Scope Objective - develop a better understanding of the capabilities and limitations

More information

A neutron polariser based on magnetically remanent Fe/Si supermirrors

A neutron polariser based on magnetically remanent Fe/Si supermirrors Jochen Stahn Laboratorium für Neutronenstreuung ETH Zürich & Paul Scherrer Institut A neutron polariser based on magnetically remanent Fe/Si supermirrors ILL, Grenoble 8. 0. 2006 neutron optics group PSI:

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

2.76/2.760 Multiscale Systems Design & Manufacturing

2.76/2.760 Multiscale Systems Design & Manufacturing 2.76/2.760 Multiscale Systems Design & Manufacturing Fall 2004 MOEMS Devices for Optical communications system Switches and micromirror for Add/drops Diagrams removed for copyright reasons. MOEMS MEMS

More information

Multilayer coating facility for the HEFT hard X-ray telescope

Multilayer coating facility for the HEFT hard X-ray telescope Multilayer coating facility for the HEFT hard X-ray telescope Carsten P. Jensen a, Finn E. Christensen a, Hubert Chen b, Erik B. W.Smitt a, Eric Ziegler c a Danish Space Research Institute (Denmark); b

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich, P. Ross, J. Koch (NSTec, LLC) A. MacPhee, O. Landen, D. Bradley, P. Bell, S. Nagel (LLNL) T. Hilsabeck (GA) N. Chen, S.

More information

Status of multilayer coatings for EUV Lithography

Status of multilayer coatings for EUV Lithography Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Full-color Subwavelength Printing with Gapplasmonic

Full-color Subwavelength Printing with Gapplasmonic Supporting information for Full-color Subwavelength Printing with Gapplasmonic Optical Antennas Masashi Miyata, Hideaki Hatada, and Junichi Takahara *,, Graduate School of Engineering, Osaka University,

More information

OPTICAL PROPERTIES OF THE DIRC FUSED SILICA CHERENKOV RADIATOR

OPTICAL PROPERTIES OF THE DIRC FUSED SILICA CHERENKOV RADIATOR OPTICAL PROPERTIES OF THE DIRC FUSED SILICA CHERENKOV RADIATOR J. Cohen-Tanugi, M. Convery, B. Ratcliff, X. Sarazin, J. Schwiening, and J. Va'vra * Stanford Linear Accelerator Center, Stanford University,

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Lin Zschiedrich, Sven Burger, Achim Schädle, Frank Schmidt Zuse Institute Berlin, JCMwave GmbH NUSOD,

More information

An alternative scattering method to characterize surface roughness from transparent substrates

An alternative scattering method to characterize surface roughness from transparent substrates An alternative scattering method to characterize surface roughness from transparent substrates M. Zerrad, C. Deumié, M. Lequime, and C. Amra nstitut Fresnel, UMR CNRTC 633 Université Paul Cézanne, EGM,

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Characterization of refractive properties of fluids for immersion photolithography

Characterization of refractive properties of fluids for immersion photolithography Characterization of refractive properties of fluids for immersion photolithography Simon G. Kaplan and John H. Burnett Physics Laboratory National Institute of Standards and Technology Gaithersburg, Maryland

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

ECE Semiconductor Device and Material Characterization

ECE Semiconductor Device and Material Characterization ECE 4813 Semiconductor Device and Material Characterization Dr. Alan Doolittle School of Electrical and Computer Engineering Georgia Institute of Technology As with all of these lecture slides, I am indebted

More information

NTUA. A. Georgakopoulou. A. Papayannis1, A. Aravantinos2 NATIONAL TECHNICAL UNIVERSITY OF ATHENS TECHNOLOGICAL EDUCATIONAL INSTIDUTION OF ATHENS SIENA

NTUA. A. Georgakopoulou. A. Papayannis1, A. Aravantinos2 NATIONAL TECHNICAL UNIVERSITY OF ATHENS TECHNOLOGICAL EDUCATIONAL INSTIDUTION OF ATHENS SIENA High Spectral Resolution LIDAR Receivers, to Measure Aerosol to Molecular Scattering Ratio in Bistatic Mode, for use in Atmospheric Monitoring for EAS Detectors E. Fokitis1, P. Fetfatzis1, 1, S. Maltezos1

More information

Lecture 9: Introduction to Diffraction of Light

Lecture 9: Introduction to Diffraction of Light Lecture 9: Introduction to Diffraction of Light Lecture aims to explain: 1. Diffraction of waves in everyday life and applications 2. Interference of two one dimensional electromagnetic waves 3. Typical

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Nano-scale plasmonic motors driven by light Ming Liu 1, Thomas Zentgraf 1, Yongmin Liu 1, Guy Bartal 1 & Xiang Zhang 1,2 1 NSF Nano-scale Science and Engineering Center (NSEC),

More information

X-ray optics for the LCLS free-electron laser

X-ray optics for the LCLS free-electron laser UCRL-PRES-433855 X-ray optics for the LCLS free-electron laser Lawrence Livermore National Laboratory 2010 International Workshop on EUV Sources, University College Dublin, Ireland 14 November, 2010 This

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Reflectometry with Synchrotron Radiation

Reflectometry with Synchrotron Radiation Michael Krumrey*, Levent Cibik, Andreas Fischer, Alexander Gottwald, Udo Kroth, Frank Scholze Introduction High-quality reflection measurements for the characterization of optical components, for layer

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Surface Plasmon Resonance. Magneto-optical. optical enhancement and other possibilities. Applied Science Department The College of William and Mary

Surface Plasmon Resonance. Magneto-optical. optical enhancement and other possibilities. Applied Science Department The College of William and Mary Surface Plasmon Resonance. Magneto-optical optical enhancement and other possibilities Applied Science Department The College of William and Mary Plasmonics Recently surface plasmons have attracted significant

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Efficient Light Scattering in Mid-Infrared Detectors

Efficient Light Scattering in Mid-Infrared Detectors Efficient Light Scattering in Mid-Infrared Detectors Arvind P. Ravikumar, Deborah Sivco, and Claire Gmachl Department of Electrical Engineering, Princeton University, Princeton NJ 8544 MIRTHE Summer Symposium

More information

UV Fluorescence from Integrating Spheres Measurement and Theory

UV Fluorescence from Integrating Spheres Measurement and Theory UV Fluorescence from Integrating Spheres Measurement and Theory Ping-Shine Shaw, Zhigang Li, Uwe Arp, and Keith R. Lykke National Institute of Standards and Technology, Gaithersburg, MD, USA Outline: 1.

More information

Supporting information:

Supporting information: Supporting information: Wavevector-Selective Nonlinear Plasmonic Metasurfaces Kuang-Yu Yang, 1,# Ruggero Verre, 2, # Jérémy Butet, 1,#, * Chen Yan, 1 Tomasz J. Antosiewicz, 2,3 Mikael Käll, 2 and Olivier

More information

Blue-ray-induced optical properties of noble metal oxide thin film in super-rens disk

Blue-ray-induced optical properties of noble metal oxide thin film in super-rens disk Blue-ray-induced optical properties of noble metal oxide thin film in super-ens disk Qian Liu, oshio Fukaya, Junji ominaga Center for Applied Near-Field Optics esearch (CAN-FO), National Institute of Advanced

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information