Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Size: px
Start display at page:

Download "Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources"

Transcription

1 Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory, College of Optics & Photonics: CREOL & FPCE, University of Central Florida Vivek Bakshi SEMATECH ABSTRACT The EUVL collector mirror reflectivity degradation can be measured as erosion of the mirror surface caused by the high energy ion emissions. Characterizing the ion emission permits the analysis of the mechanisms of erosion and provides the capability to reduce the high energy ion emission which directly reduces the erosion rate. The degradation can also be measured as deposition of particulate debris on the mirror surface. The debris particles have sizes of only a few nanometers. We have demonstrated that the use of electrostatic repeller fields mitigates large fraction of the particle transfer. Our microscopic tin-doped droplet target is a mass-limited target and is designed to limit the flux of uncharged particulate matter emanating from the target, with the eventual objective of only generating charged material. The latter then may be inhibited from degrading EUV optics with the use of electrostatic repeller fields and other mitigation schemes. We present tin-doped droplet target ion emission characteristics in terms of ion energy distribution obtained using our ion spectrometer. Extensive studies on particle generation by controlling plasma conditions and the repeller field effect on individual ion species and particles is also described. Keywords: EUV Source, laser-plasmas, debris measurements, mitigation, tin plasma. 1. INTRODUCTION One of the biggest challenges for realizing EUVL source is the conversion efficiency (CE) of useful radiation generated from electrical energy supplied in gas discharge source cases and the laser energy in laser plasma source cases. Utilizing a suitable material like tin, which has demonstrated higher conversion efficiency, has led to a lot of progress in both types of source configurations 1,2. The challenge at the forefront now is the lifetime of the source components, which includes the collector mirror reflectivity lifetime. The collector mirror lifetime is severe issue for laser plasma sources when large collection angle is applied in which normal incident multilayer mirror is usually used as the collector mirror The reflectivity of the multilayer mirror used for the collector mirror drops when the number of bilayers is reduced or the structure of the layers is damaged, and/or the surface of the mirror is coated with various debris. We previously found that the reflectivity of the mirror drops when the number of layers is reduced due to erosion 3. The mirror erosion is mainly caused by the high energy ions generated in the plasma. Thus, measuring ion energy at the distance of the collector mirror is most important in understanding this damage mechanism. The ion energy distribution can provide us with a reliable prediction of mirror reflectivity lifetime. In order for all source configurations to satisfy the lifetime requirement 4, the ion energy distribution has to be measured. The reflectivity of the collector mirror also drops when a material which absorbs the radiation from the plasma coats the surface of the mirror. Utilizing tin as the target material leads this mirror reflectivity degradation mechanism to be dominant due to the tin particles generated in the plasma, which are deposited on the mirror surface 5. The sizes of deposited particles are characterized in this study. Although observed tin deposits are nanometer-size particles, the

2 thickness of each deposit is just enough to attenuate the useful EUV radiation at 13.5 nm and eventually coat entire surface of the collector mirror surface. It is important to know how those particles are generated in the laser-target material interaction. The condition of the interaction in which no particles are generated is ideal but if they are inevitably generated, they have to be mitigated. Debris mitigation includes a number of schemes such as buffer gas 6, foil trap 7, mechanical shutter 8, plasma shutter 9, magnetic field 10, and electric field 3,5. For gas discharge plasma sources, combination of buffer gas and foil trap is often seen. For laser plasma EUV source, because of the large distance between first collector mirror and the source, many of combinations of those schemes are possible. We previously demonstrated the electric field mitigation of debris, called Repeller field 11 for the water droplet plasma source 3 as well as tin-doped droplet source 5. Significant improvement in maintaining the mirror reflectivity with applying the field in water droplet source was observed. Similarly, high energy ions and the tin particles are repelled by the field. In this paper we present firstly detailed analysis on ion energy distribution by using one of our ion spectrometers, secondly particle origin, particle generation control and total tin emission analysis, and finally the Repeller field mitigation for individual ion species and particles. 2. EXPERIMENTAL SETUP 2.1. Microscopic laser plasma generation The experiments described here take place in our dedicated vacuum chamber for debris studies, as shown in fig. 1. The vacuum pressure is kept around 4x10-4 Torr during the measurements. A commercial high repetition rate Q-switched Nd:YAG laser is used for the plasma generation. The maximum laser pulse energy is 340 mj, the pulse duration is about 10 ns, and the repetition rate is 100 Hz. The laser beam is focused onto the target using a lens with focal length of 50 mm, which gives the maximum intensity of approximately 6.8x10 11 W/cm 2 at the focal spot whose diameter is 70 µm. The target containing 30% tin solution is delivered from a capillary nozzle. The number of tin atoms doped in a target is calculated to be in the order of atoms. A train of uniform droplets is formed by driving a piezo-crystal attached to the nozzle and moving at the velocities of approximately 20 m/s. Typical diameters of each droplet range from 30 µm to 50 µm depending on the driving frequency of the piezo-crystal. Repetition rate of target generation is typically khz, which is much higher than the operation frequency of the laser. Thus the unused targets are captured by a cryogenic cold trap in order to prevent evaporation in the vacuum chamber, which will otherwise result in increased pressure inside the chamber Three methods for measuring ions Three different approaches are used to measure ionic debris. The first is a Faraday Cup Ion Probe (IP) and the second is a custom designed Ion Spectrometer configured as an Electrostatic Ion Energy Analyzer (ESIEA). The third a custom designed Thomson Parabola ion Spectrometer (TPS). Those configurations are shown in Fig. 2. Both the IP and the ESIEA utilize Time of flight (TOF) analysis and have been described previously 12. The ESIEA has been improved in terms of resolution of the spectral lines and vacuum pressure. By putting two slits whose width is 1 mm each in the entrance as well as the exit of the analyzer provide sharp signals well enough to identify different ion species like O + (M/Z=16) and Cl 2+ (M/Z=17.7). Also the distance from the plasma to the ion detector is lengthened to 900mm, from 640mm as reported previously, so that the resolution of the signal is improved. The vacuum setup is revised and the ambient pressure is kept below 1x10-6 Torr during operation. One of the important assumptions of the ESIEA analysis is that the plasma condition stays the same during the series of measurements. In order to obtain precise ion energy distributions, more measurements are necessary, and, it is critical to maintain the same plasma conditions. On the other hand TPS can provide complete ion energy profile from a single plasma generation. A comprehensive study for ion energy distributions based on TPS measurements is currently under way.

3 Figure 1: Dedicated facility for debris studies Faraday Cup Ion Probe Inside target chamber Aperture diameter 5[mm] Various distance from source ~ -60V Oscilloscope Thomson Parabola Spectrometer Vacuum: 1x10-6 [Torr] Aperture diameter 1[mm] MCP distance from source 880[mm] Analyzer size 25mm Variable E & B field Nd:YAG Laser beam Droplet target 50 ~ 150 mm Aperture Ion spectrometer (Electro Static Ion Energy Analyzer ESIEA) TOF field free path Analyzer DC HV 0 ~ 2 kv 100 mm Apertures Plasma Vacuum: 1x10-6 [Torr] Aperture diameter 1[mm] CEM distance from source 640&900[mm] Channel Electron Multiplier E & B field MCP & Phosphor screen Ion energy analyzer Oscilloscope Target chamber Figure 2: Three methods of ion measurement 2.3. Particle detection and characterization Particles are mainly detected by using witness plates and analyzed by using various types of microscopy and spectroscopy. Detailed investigation of the tin particles on the witness plate surfaces is performed by using Optical Microscopy (OM), Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Auger Electron Spectroscopy (AES), and X-ray Photoelectron Spectroscopy (XPS) are discussed previously 5. Those particles are identified as tin particles by AES and characterized in size by AFM. Rutherford Backscattering Spectroscopy (RBS) is used to analyze total deposition of tin on the surface.

4 The witness plates used here are pieces of Si wafer which are placed at a designed distance from the plasma and are orthogonal to the laser incident. The plates are also replaceable during an experiment without bringing the vacuum in the chamber to atmosphere so that any differences in the experimental conditions between samples are eliminated. 3. RESULTS 3.1. Detailed ESIEA spectral analysis and ion energy distribution Converting spectrometer signal to M/Z signal A typical ESIEA spectrometer signal is shown in fig. 3 (a). There are several peaks observed in the signal because the different components of the target material arrive at the detector at different times depending on their mass charge ratio (M/Z). This TOF based signal is too complex for analysis of the entire ion energy distribution. To identify all the signal peaks, the signal is converted to M/Z based signal by using the following equation. M er = E( TOF ) 2 2 (1) Z mpl, where M is the atomic mass of the ion of interest, Z is the charge number, e is electron charge, R is the radius of the analyzer path, m p is proton s mass, l is the ion detector distance from the plasma, E is the electric field, and TOF is the time-of-flight in the signal. A typical M/Z signal is shown in fig. 3 (b). The M/Z axis is plotted in logarithmic scale. All the peaks in the signal are identified as certain ion species. This method is applied for all other measured signals and then a complete map of the ion signals can be obtained. (a) (b) 0.02 O 3+ 0 Signal [V] E/Z=304eV E E E E-05 H + O 4+ O 2+ Cl 3+ O + Cl 2+ Cl + Sn + Sn 2+ TOF [s] Figure 3 (a) a typical signal from ESIEA spectrometer, (b) converted M/Z signal Interpolation and calculation of ion energy distribution By keeping the same plasma conditions it is possible to obtain M/Z signals with different energies by changing the electric field strength in the analyzer. It is also possible to plot all signals together and investigate the signal profile along with a constant M/Z. However, because different M/Z spectra are converted from different TOF signals, the M/Z values in all the signals plotted do not lineup. A simple linear interpolation can be applied to the all converted signals. A complete map of M/Z spectra at a constant plasma condition is shown in fig. 4. It is possible to make profile for a constant M/Z, in other words, slicing the spectral map for each ion species can provide ion energy distribution.

5 Figure 4. A map of M/Z spectra at a constant plasma condition In order to obtain an ion energy distribution for each ion species, it is necessary to count the number of charges at all the points in the spectrometer. Assumptions made here are 1) electron multiplier efficiency is unity for all the ion species and all the ion energies, 2) the ion energy distribution is constant in each signal window, 3) no divergence of ion stream inside the field free path in the spectrometer, and 4) isotropic ion emissions from the plasma. It is then possible to count how many ions have specific kinetic energy per solid angle. It is also possible to calculate number of ions having more than a certain kinetic energy per unit area on the mirror surface at a given mirror distance. (a) 2.0E E+07 (b) dn/de 1.5E E E+06 O+ O2+ O3+ O4+ O5+ dn /de 1.5E E E+06 O+ O2+ O3+ O4+ O5+ O6+ 0.0E KE [ev] (c) 2.0E E E KE [ev] (d) dn /de 1.5E E E+06 Sn+ Sn2+ Sn3+ Sn4+ Sn5+ dn /de 1.5E E E+06 Sn+ S n2+ S n3+ S n4+ S n5+ 0.0E KE [ev ] 0.0E KE [ev] Figure 5. (a) Energy distribution of oxygen ions at intensity of 1.2x10 11 W/cm 2, (b) oxygen at 4.8x10 11 W/cm 2, (c) tin at 1.2x10 11 W/cm 2, (d) tin at 4.8x10 11 W/cm 2. Calculated ion energy distributions at two different plasma conditions are shown in fig. 5. All the distributions show higher population in lower ion energies. Also lower ionization states are observed at the distance from the plasma compared to the ionization states in the plasma in which higher ionization states are expected for EUV radiation. These are the results of collisions and recombinations during plasma expansion. For oxygen ion distributions, it is observed higher energy ions and higher ionization states at higher laser intensity which is too high for optimum CE. On the other hand, no significant change in tin ion distribution is observed at very high intensity for optimum CE.

6 3.2. Particle generation control and total tin emission analysis Particle origin determination Particle generation can be the result of incomplete heating of the target including secondary heating of the adjacent targets by the plasma radiation or scattered laser light. In order to determine the origin of the particle generation, the following procedure is applied. First, a mask with a certain profile of opening is installed. Second, the target separation is enlarged to eliminate possibility of having the adjacent target heated directly or secondarily by the laser. Third, the target formation and positioning are carefully controlled during the exposure, in other words, no unwanted target or satellites are close to the focal region. Lastly, the laser energy is reduced intentionally so that incomplete heating occurs. The plasma is generated by 60 mj laser pulse. The mask and witness plate configuration is shown in fig. 6 (a) and the witness plate surface image is shown in fig. 6 (b). The distance in transitions of the deposited area to no deposition area is 75.7 µm vertically and 32.4 µm horizontally. With the geometry shown in fig. 6 (a) the size of origin of the particles is 46.9 µm vertically and 20 µm horizontally which are equivalent to the size of the target. Thus the particles are generated at the target, and not at the adjacent targets. (a) (b) d source Mask w edge d 1 =31mm d 2 =50mm d 1 d source = d 2 w edge Figure 6. (a) distances of target, mask, and witness plate, (b) dark field image of the witness plate surface Comparison of particle generation in two different plasma conditions Two witness plate samples are produced using different plasma conditions. One plasma condition is created by using a 60 mj laser pulse, which is the same condition for the particle origin determination experiment. The other condition is created by using a 240 mj laser pulse which produces the condition for the optimum CE. The exposure is 1.2 x 10 5 shots for both cases. The SEM images of those two samples are shown in fig. 7. As it is shown in fig. 7 (a), a number of particles are deposited on the surface, on the other hand, only a few particles observed in fig. 7 (b). This comparison shows the target material heated by low energy laser pulse generates much more particles than the target under high energy laser pulse. The incomplete heating of target material cause two effects: generation of more particles and creation of less EUV emission. Thus it is important to maintain the optimum irradiation conditions for particle free plasma generation, as well as high EUV emission.

7 (a) (b) Figure 7. (a) SEM image of witness plate surface exposed by plasma created by 60 mj laser pulse, (b) by 240 mj pulse Total tin emission analysis on the surface A quantitative analysis is made by RBS on the witness plate samples exposed to the plasma created at particle free condition as described above. The RBS analysis shows only Si and Sn present on the surface. Because the substrate is made of silicon, only tin is deposited on the surface. The amount of the tin deposition is calculated to be 2x10 15 atom/cm 2. If we assume that the tin emission is isotropic, the total emission of 1.1x10 12 atoms/shot-sr is obtained. This is equivalent to the number of atoms in the target. Although we create particle free conditions, tin atoms in the target are detected on the surface. Therefore effective mitigation schemes are necessary 3.3. Repeller field mitigation for individual ion species and particles Repeller field mitigation for individual ion species As previously reported the Repeller field stops or slows down protons 12. However, the IP measurement cannot provide other ion species to be repelled or slowed by the field. The ESIEA measurement with the field is carried out by installing the field in front of the aperture of the spectrometer. In order to see the effect of the field, analyzed energy is set to 380 ev so that singly charged oxygen ions, chlorine ions, and tin ions are detected. As it s seen in fig. 8, the peaks of the spectrometer signals for those ion species are reduced when the field potential approaches to the kinetic energy of the ions. By counting number of ions contributing to each ion signal peak, reduction ratios of about 200 for oxygen ions, 6 for chlorine ions, and 8 for tin ions are observed. Therefore the field is effective for individual ion species (a) O + (b) (c) 0.02 No field 306V 0.02 Cl + 408V Signal [V] Sn + Signal [V] Signal [V] M/Z [a.m.u.] M/Z [a.m.u.] M/Z [a.m.u.] Figure 8. (a) ion spectra with no field applied, (b) with field potential of 306V, (c) with field potential of 408V Repeller field mitigation for particles The particles generated in the plasma and deposited on the witness plates are repelled by the field 5,10. To confirm that the particles are charged and repelled by the field, the following RBS analysis is made. A slit and a transverse electric field are applied for the purpose of removing any intervening structure to obtain direct line of sight for the witness

8 plates to the plasma source region. For this experiment, we used the laser pulse energy of 60 mj, as under this condition a large number of debris particles are generated. No field is applied for one sample during the exposure and 1 kv potential is applied for the other sample for 50% of the total exposure time. The reason for applying field potential for a half of the exposure is that the area in which particles are deposited is necessary for the post shot analysis. A RBS analysis is performed on those samples. Tin depositions are measured to be 35x10 15 atoms/cm 2 for the sample with no field applied and 16x10 15 atoms/cm 2 with the field applied. The reduction ratio is calculated to be 46% which is very close to the duty cycle of the high voltage applied for the field. Therefore the particles are charged and deflected by the field so that no particle reaches the witness plate surface when the field is applied. 4. CONCLUSION This study summarizes detailed analysis conducted, in particular, for oxygen and tin ion energy distributions. The distributions show that the majority of ions have lower energy and lower ionization states at two different laser irradiation conditions. The origin of particles is determined as the size of the target and the particle free condition is obtained at the condition for optimum CE. Total tin emission analysis by RBS is described. The Repeller field mitigation is evaluated for individual ion species and particles, and it is found that the field is effective for individual ion species and tin particles. ACKNOWLEDGMENTS The authors wish to acknowledge the technical assistance of colleagues with LPL at the College of Optics & Photonics: CREOL & FPCE, particularly Dr G Shimkaveg, Simi George, Robert Bernath, and Joshua Duncan and Zia Rahman and Kirk Scammon for the assistance at AMPAC/Material Characterization Facility. This work is supported by SEMATECH and the State of Florida.

9 REFERENCES 1 M. Richardson, C-S Koay, K. Takenoshita, C. Keyser, "High conversion efficiency mass-limited Sn-based laser plasma source for EUV lithography," Journal of Vacuum Science and Technology B, volume 22, number 2, pages , J. Pankert, Philips EUV lamp: Status and Roadmap, presentation at ISMT EUV source workshop, Sep. 29, G. Schriever, M. C. Richardson, E. Turcu, "The droplet laser plasma source for EUV lithography," Proceedings of CLEO, pages , 7-12 May Y. Watanabe, Source requirements, presentation at ISMT EUV source workshop, Feb. 22, K. Takenoshita, M. C. Richardson et al., "The repeller field debris mitigation approach for EUV sources," Emerging Lithographic Technologies VII, SPIE, volume 5037, pages , W. T. Silfvast, M. C. Richardson et al., "Laser-Produced Plasmas for Soft X-ray Projection Lithography," Journal of Vacuum Science and Technology B, volume 10, number 6, pages , L. A. Shmaenok, R. de Bruijn, R. Stuik, F. Bikerk, et al., SPIE Vol. 3331, pp , M. Richardson et al., Characterization and control of laser-plasma flux parameters for soft x-ray projection lithography, Applied Optics Vol. 32, pp , H. Yashiro et al., Study of ultra-fast ion shutter employing a laser-produced plasma, Emerging Lithographic Technologies VII, SPIE, volume 5037, pages , H. Komori et al., Ion damage analysis on EUV collector mirrors, Emerging Lithographic Technologies VIII, SPIE, volume 5374, pages , M. Richardson and G. Schriever, US Patent 6,377,651, (2002). 12 K. Takenoshita, C-S Koay, S. Teerawattanasook, M. Richardson, "Debris studies for the tin-based droplet laserplasma EUV source," Emerging Lithographic Technologies VIII, volume 5374, pages , 2004.

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2 Appl. Phys. A 77, 217 221 (2003) DOI: 10.1007/s00339-003-2142-4 Applied Physics A Materials Science & Processing c. keyser 1, g. schriever 1, m. richardson 1, Studies of high-repetition-rate laser plasma

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Lecture 5. X-ray Photoemission Spectroscopy (XPS)

Lecture 5. X-ray Photoemission Spectroscopy (XPS) Lecture 5 X-ray Photoemission Spectroscopy (XPS) 5. Photoemission Spectroscopy (XPS) 5. Principles 5.2 Interpretation 5.3 Instrumentation 5.4 XPS vs UV Photoelectron Spectroscopy (UPS) 5.5 Auger Electron

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL

High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL Chiew-Seng Koay, Simi George, Kazutoshi Takenoshita, Robert Bernath, Etsuo Fujiwara**, Martin Richardson *,

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

object objective lens eyepiece lens

object objective lens eyepiece lens Advancing Physics G495 June 2015 SET #1 ANSWERS Field and Particle Pictures Seeing with electrons The compound optical microscope Q1. Before attempting this question it may be helpful to review ray diagram

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources

Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources JOURNAL OF APPLIED PHYSICS 102, 023301 2007 Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources S. N. Srivastava, a K. C. Thompson, E. L. Antonsen, H. Qiu, J. B. Spencer,

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Imaging Methods: Scanning Force Microscopy (SFM / AFM) Imaging Methods: Scanning Force Microscopy (SFM / AFM) The atomic force microscope (AFM) probes the surface of a sample with a sharp tip, a couple of microns long and often less than 100 Å in diameter.

More information

X-ray photoelectron spectroscopy with a laser-plasma source

X-ray photoelectron spectroscopy with a laser-plasma source Proc. SPIE Vol.3157 (1997) pp.176-183 X-ray photoelectron spectroscopy with a laser-plasma source Toshihisa TOMIE a, Hiroyuki KONDO b, Hideaki SHIMIZU a, and Peixiang Lu a a Electrotechnical Laboratory,

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Interface (backside) & Extraction Lens

Interface (backside) & Extraction Lens Plasma Interface Interface (backside) & Extraction Lens Extraction Lens (-2000 volts) ION OPTICS Tip of the sampler cone is positioned to be in the region of maximum ionization Ions no longer under control

More information

4. How can fragmentation be useful in identifying compounds? Permits identification of branching not observed in soft ionization.

4. How can fragmentation be useful in identifying compounds? Permits identification of branching not observed in soft ionization. Homework 9: Chapters 20-21 Assigned 12 April; Due 17 April 2006; Quiz on 19 April 2006 Chap. 20 (Molecular Mass Spectroscopy) Chap. 21 (Surface Analysis) 1. What are the types of ion sources in molecular

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high? STM STM With a scanning tunneling microscope, images of surfaces with atomic resolution can be readily obtained. An STM uses quantum tunneling of electrons to map the density of electrons on the surface

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

CHARACTERIZATION of NANOMATERIALS KHP

CHARACTERIZATION of NANOMATERIALS KHP CHARACTERIZATION of NANOMATERIALS Overview of the most common nanocharacterization techniques MAIN CHARACTERIZATION TECHNIQUES: 1.Transmission Electron Microscope (TEM) 2. Scanning Electron Microscope

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Coulomb crystal extraction from an ion trap for application to nano-beam source"

Coulomb crystal extraction from an ion trap for application to nano-beam source Coulomb crystal extraction from an ion trap for application to nano-beam source" K. Ito, K. Izawa, H. Higaki and H. Okamoto,! Aadvanced Sciences of Matter, Hiroshima University,! 1-3-1 Kagamiyama, Higashi-Hiroshima,

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Photoelectron spectroscopy Instrumentation. Nanomaterials characterization 2

Photoelectron spectroscopy Instrumentation. Nanomaterials characterization 2 Photoelectron spectroscopy Instrumentation Nanomaterials characterization 2 RNDr. Věra V Vodičkov ková,, PhD. Photoelectron Spectroscopy general scheme Impact of X-ray emitted from source to the sample

More information

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics Mass spectrometry (MS) is the technique for protein identification and analysis by production of charged molecular species in vacuum, and their separation by magnetic and electric fields based on mass

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Development of a table top TW laser accelerator for medical imaging isotope production

Development of a table top TW laser accelerator for medical imaging isotope production Development of a table top TW laser accelerator for medical imaging isotope production R U I Z, A L E X A N D R O 1 ; L E R A, R O B E R T O 1 ; T O R R E S - P E I R Ó, S A LVA D O R 1 ; B E L L I D O,

More information

Time of Flight Mass Spectroscopy and Velocity Map Imaging

Time of Flight Mass Spectroscopy and Velocity Map Imaging Time of Flight Mass Spectroscopy and Velocity Map Imaging Geet Ghanshyam January 26, 2013 Velocity map imaging (VMI) is used to study the dynamics of various dissociative electron attachment (DEA) processes

More information

Ion debris characterization from a z-pinch extreme ultraviolet light source

Ion debris characterization from a z-pinch extreme ultraviolet light source JOURNAL OF APPLIED PHYSICS 99, 063301 2006 Ion debris characterization from a z-pinch extreme ultraviolet light source Erik L. Antonsen, a Keith C. Thompson, Matthew R. Hendricks, Darren A. Alman, Brian

More information

Methods of surface analysis

Methods of surface analysis Methods of surface analysis Nanomaterials characterisation I RNDr. Věra Vodičková, PhD. Surface of solid matter: last monoatomic layer + absorbed monolayer physical properties are effected (crystal lattice

More information

Secondary Ion Mass Spectroscopy (SIMS)

Secondary Ion Mass Spectroscopy (SIMS) Secondary Ion Mass Spectroscopy (SIMS) Analyzing Inorganic Solids * = under special conditions ** = semiconductors only + = limited number of elements or groups Analyzing Organic Solids * = under special

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

20.2 Ion Sources. ions electrospray uses evaporation of a charged liquid stream to transfer high molecular mass compounds into the gas phase as MH n

20.2 Ion Sources. ions electrospray uses evaporation of a charged liquid stream to transfer high molecular mass compounds into the gas phase as MH n 20.2 Ion Sources electron ionization produces an M + ion and extensive fragmentation chemical ionization produces an M +, MH +, M +, or M - ion with minimal fragmentation MALDI uses laser ablation to transfer

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

X-Ray Photoelectron Spectroscopy (XPS)

X-Ray Photoelectron Spectroscopy (XPS) X-Ray Photoelectron Spectroscopy (XPS) Louis Scudiero http://www.wsu.edu/~scudiero; 5-2669 Fulmer 261A Electron Spectroscopy for Chemical Analysis (ESCA) The basic principle of the photoelectric effect

More information

Laser Dissociation of Protonated PAHs

Laser Dissociation of Protonated PAHs 100 Chapter 5 Laser Dissociation of Protonated PAHs 5.1 Experiments The photodissociation experiments were performed with protonated PAHs using different laser sources. The calculations from Chapter 3

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

ABSOLUTE AIR-KERMA MEASUREMENT IN A SYNCHROTRON LIGHT BEAM BY IONIZATION FREE-AIR CHAMBER

ABSOLUTE AIR-KERMA MEASUREMENT IN A SYNCHROTRON LIGHT BEAM BY IONIZATION FREE-AIR CHAMBER ABSOLUTE AIR-KERMA MEASUREMENT IN A SYNCHROTRON LIGHT BEAM BY IONIZATION FREE-AIR CHAMBER M. Bovi (1), R.F. Laitano (1), M. Pimpinella (1), M. P. Toni (1), K. Casarin(2), E. Quai(2), G. Tromba(2), A. Vascotto(2),

More information

UV Degradation of Polycarbonate

UV Degradation of Polycarbonate Utah State University DigitalCommons@USU Senior Theses and Projects Materials Physics 5-2017 UV Degradation of Polycarbonate Katie Gamaunt Utah State University Follow this and additional works at: https://digitalcommons.usu.edu/mp_seniorthesesprojects

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature10721 Experimental Methods The experiment was performed at the AMO scientific instrument 31 at the LCLS XFEL at the SLAC National Accelerator Laboratory. The nominal electron bunch charge

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev Characterization of Secondary Emission Materials for Micro-Channel Plates S. Jokela, I. Veryovkin, A. Zinovev Secondary Electron Yield Testing Technique We have incorporated XPS, UPS, Ar-ion sputtering,

More information

Scanning Electron Microscopy

Scanning Electron Microscopy Scanning Electron Microscopy Field emitting tip Grid 2kV 100kV Anode ZEISS SUPRA Variable Pressure FESEM Dr Heath Bagshaw CMA bagshawh@tcd.ie Why use an SEM? Fig 1. Examples of features resolvable using

More information

X-Ray Photoelectron Spectroscopy (XPS)

X-Ray Photoelectron Spectroscopy (XPS) X-Ray Photoelectron Spectroscopy (XPS) Louis Scudiero http://www.wsu.edu/~scudiero; 5-2669 Electron Spectroscopy for Chemical Analysis (ESCA) The basic principle of the photoelectric effect was enunciated

More information

Auger Electron Spectroscopy Overview

Auger Electron Spectroscopy Overview Auger Electron Spectroscopy Overview Also known as: AES, Auger, SAM 1 Auger Electron Spectroscopy E KLL = E K - E L - E L AES Spectra of Cu EdN(E)/dE Auger Electron E N(E) x 5 E KLL Cu MNN Cu LMM E f E

More information

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Progress of the interaction between e - and molecule in Fudan University

Progress of the interaction between e - and molecule in Fudan University Progress of the interaction between e - and molecule in Fudan University B. Wei, Z. Chen, X. Wang, R. Hutton, Y. Zou Fudan University, Shanghai The 2nd Research Coordination Meeting (RCM) of the CRP, 23-25

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Development of a Compact XAFS Measurement Chamber under Atmospheric Pressure in the Soft X-ray Region

Development of a Compact XAFS Measurement Chamber under Atmospheric Pressure in the Soft X-ray Region Development of a Compact XAFS Measurement Chamber under Atmospheric Pressure in the Soft X-ray Region Koji Nakanishi, Toshiaki Ohta Abstract We have developed a compact experimental set-up for X-ray absorption

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Application of Surface Analysis for Root Cause Failure Analysis

Application of Surface Analysis for Root Cause Failure Analysis Application of Surface Analysis for Root Cause Failure Analysis David A. Cole Evans Analytical Group East Windsor, NJ Specialists in Materials Characterization Outline Introduction X-Ray Photoelectron

More information

Experiment objectives: measure the ratio of Planck s constant to the electron charge h/e using the photoelectric effect.

Experiment objectives: measure the ratio of Planck s constant to the electron charge h/e using the photoelectric effect. Chapter 1 Photoelectric Effect Experiment objectives: measure the ratio of Planck s constant to the electron charge h/e using the photoelectric effect. History The photoelectric effect and its understanding

More information

Supporting Information s for

Supporting Information s for Supporting Information s for # Self-assembling of DNA-templated Au Nanoparticles into Nanowires and their enhanced SERS and Catalytic Applications Subrata Kundu* and M. Jayachandran Electrochemical Materials

More information

Lecture 22 Ion Beam Techniques

Lecture 22 Ion Beam Techniques Lecture 22 Ion Beam Techniques Schroder: Chapter 11.3 1/44 Announcements Homework 6/6: Will be online on later today. Due Wednesday June 6th at 10:00am. I will return it at the final exam (14 th June).

More information

Diagnostics for laser plasma EUV sources

Diagnostics for laser plasma EUV sources Diagnostics for laser plasma EUV sources M. Richardson, a) C-S. Koay, K. Takenoshita, C. Keyser, b) R. Bernath, S. George, and S. Teerawattansook Laser Plasma Laboratory, College of Optics and Photonics:

More information

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence IEPC-2015-91059 / ISTS-2015-b-91059 Presented at Joint Conference of 30th International

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

PHOTOELECTRON SPECTROSCOPY IN AIR (PESA)

PHOTOELECTRON SPECTROSCOPY IN AIR (PESA) PHOTOELECTRON SPECTROSCOPY IN AIR (PESA) LEADERS IN GAS DETECTION Since 1977 Model AC-3 Features: Atmospheric pressure operation (unique in the world) Estimate work function, ionization potential, density

More information

Lecture 8: Mass Spectrometry

Lecture 8: Mass Spectrometry intensity Lecture 8: Mass Spectrometry Relative abundance m/z 1 Ethylbenzene experiment CH 2 CH 3 + m/z = 106 CH 2 + m/z = 91 C 8 H 10 MW = 106 CH + m/z = 77 + 2 2 What information can we get from MS spectrum?

More information

David B. Cassidy. Department of Physics and Astronomy, University of California, Riverside, USA. Varenna, July 09

David B. Cassidy. Department of Physics and Astronomy, University of California, Riverside, USA. Varenna, July 09 Experimental production of many- positron systems: L2, techniques David B. Cassidy Department of Physics and Astronomy, University of California, Riverside, USA cassidy@physics.ucr.edu Varenna, July 09

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses

Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses Sargis Ter-Avetisyan ELI - Extreme Light Infrastructure Science and Technology with

More information

Astronomy 203 practice final examination

Astronomy 203 practice final examination Astronomy 203 practice final examination Fall 1999 If this were a real, in-class examination, you would be reminded here of the exam rules, which are as follows: You may consult only one page of formulas

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Lecture 8: Mass Spectrometry

Lecture 8: Mass Spectrometry intensity Lecture 8: Mass Spectrometry Relative abundance m/z 1 Ethylbenzene CH 2 CH 3 + m/z = 106 CH 2 + m/z = 91 C 8 H 10 MW = 106 CH + m/z = 77 + 2 2 What information can be obtained from a MS spectrum?

More information