Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF

Size: px
Start display at page:

Download "Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF"

Transcription

1 Solutions with light meet challenges and offer opportunities Fraunhofer IOF

2 Multilayer coating for EUV collector mirrors 2011 International Workshop on EUV and Soft X- Ray Sources Hagen Pauer, Marco Perske, Sergiy Yulin, Marcus Trost, Sven Schröder, Angela Duparré, Torsten Feigl, Norbert Kaiser Fraunhofer IOF Angewandte Optik und Feinmechanik Jena, Germany Dublin, November 9 th, 2011 hagen.pauer@iof.fraunhofer.de

3 Contents Introduction Characterization of LPP collector substrates Multilayer coating of LPP collectors Summary and acknowledgement

4 Contents Introduction Characterization of LPP collector substrates Multilayer coating of LPP collectors Summary and acknowledgement

5 Coating and characterization of LPP collector optics [Nature Photonics 4, (2010)]

6 LPP collector coating challenges Multilayer coating for EUV collector mirrors R > 65 % l = (13.5 ± 0.03) nm Dd = nm = 15 pm Diameter: > 660 mm Lens sag: > 150 mm Tilt: Weight: > 45 deg > 40 kg

7 LPP collector coating challenges Multilayer coating for EUV collector mirrors R > 65 % l = (13.5 ± 0.03) nm + 25 % ± 15 pm Dd = nm = 15 pm Diameter: > 660 mm Lens sag: > 150 mm Tilt: Weight: > 45 deg > 40 kg > 660 mm

8 Contents Introduction Characterization of LPP collector substrates Multilayer coating of LPP collectors Summary and acknowledgement

9 Surface characterization of EUV collector substrates No robust roughness data available Complex geometry Required roughness sensitivity New approach: Roughness characterization through light scattering measurements at l = 405 nm Non-contact Fast, robust High sensitivity Information about roughness, defects, homogeneity, Light scattering measurements (IOF instrument Albatross) Superior characterization method for EUV collector mirrors before coating

10 ARS (sr -1 ) Multilayer coating for EUV collector mirrors The basics: Scatter modeling of EUV multilayer coatings AFM measurements + modeling of roughness evolution Angle resolved scattering at l = 13.5 nm EUV scatterometer at IOF (MERLIN) General model (substrate + thin film roughness) Intrinsic thin film roughness only (perfect substrate) Substrate roughness only (perfect coating) Measurement Separate effects of substrate and thin film ML Roughness enhancement of ML = f(substrate roughness) s ( ) Influence of substrate roughness becomes dominant if HSFR > 0.1 nm Important basis for prediction of EUV performance of given substrate before coating M. Trost et al., Influence of the substrate finish and thin film roughness on the optical performance of Mo/Si multilayers, Applied Optics (2011)

11 est. HSFR (nm) PSD (nm 4 ) Multilayer coating for EUV collector mirrors HSFR mapping from ARS measurements sample 1 sample PSD analysis sample position 1 sample position 2 extrapolation HSFR=1.07 nm HSFR=0.14 nm f (µm -1 ) Perfect fractal behavior at smooth and rough areas Prediction of performance at 13.5 nm based on detailed roughness information (PSD, HSFR)

12 pred. R (%) measured R (%) Multilayer coating for EUV collector mirrors Prediction based on roughness data obtained from scattering (before coating) Reflectance measurements at PTB, Berlin (after coating) sample 1 sample 2 sample 1 sample Reflectance drops to 35 % Good correlation between predicted and experimental data Accuracy of average predicted reflectance < 1%

13 j=100 j=80 j=120 j=60 j=140 j=160 j=180 j=200 j=40 j=20 j=0 est. HSFR (nm) 0.7 j= Fast data acquisition: mapping of entire sample surface (100% characterization) High sensitivity to roughness (average HSFR = 0.1 nm) j=220 j= j=240 j=260 j=280 j=300 R=305 mm Thorough characterization of collector substrate before coating Check for homogeneity and defects

14 Contents Introduction Characterization of LPP collector substrates Multilayer coating of LPP collectors Summary and acknowledgement

15 NESSY New EUV Sputtering System Design and realization of an EUV sputtering system Conception: magnetron sputtering of rotating and fast spinning substrates up to Ø 665 mm four deposition targets deposition of graded multilayers on curved substrates

16 Reflectivity of LPP collector mirror Multilayer coating for EUV collector mirrors j = 180 j = 90 j = 270 j = 0 Maximum reflectance along four lines within clear aperture of collector mirror: R ~ r < 240 mm R ~ r = mm Measurements: PTB Berlin

17 Reflectivity of LPP collector mirror Multilayer coating for EUV collector mirrors j = 180 j = 90 j = 270 j = 0 Center wavelength along four lines within clear aperture of collector mirror: l = (13.50 ± 0.03) nm Measurements: PTB Berlin

18 Reflectivity of LPP collector mirror Multilayer coating for EUV collector mirrors j = 180 j = 90 j = 270 j = 0 Measurement of reflectance along four lines within clear aperture of collector mirror: 108 measurement curves Measurements: PTB Berlin

19 Contents Introduction Characterization of LPP collector substrates Multilayer coating of LPP collectors Summary and acknowledgement

20 Summary Characterization of EUV collector optics: - development of light scattering techniques for HSFR substrate characterization - predict EUV reflectance before coating Multilayer coating of EUV collector optics: - R > 65 % and d-spacing accuracy of Dd < 15 pm on world s largest EUV multilayer mirror (Ø > 660 mm)

21 Acknowledgements Cymer for LPP source development: Norbert Böwering, Kevin Cumming, Bruno La Fontaine, David Brandt, Igor Fomenkov, Alex Ershov, Kay Hoffmann and many others PTB Berlin team for EUV reflectivity measurements: Frank Scholze, Christian Laubis, Christian Buchholz, Annett Kampe Jana Puls, Christian Stadelhoff, Martin Biel EUV project Fraunhofer IOF: Christoph Damm, Andreas Gebhardt, Tobias Herffurth, Christina Hüttl, Robert Jende, Thomas Müller, Viatcheslav Nesterenko, Michael Scheler, Thomas Peschel, Stefan Risse, Sebastian Scheiding, Christoph Schenk, Ronald Schmidt, Mark Schürmann, Uwe Zeitner

22 Multilayer coating for EUV collector Thank mirrors you!

EUV-collector mirrors for high-power LPP sources

EUV-collector mirrors for high-power LPP sources EUV-collector mirrors for high-power LPP sources EUV Source Workshop Torsten Feigl, Sergiy Yulin, Nicolas Benoit, Norbert Kaiser Fraunhofer IOF Jena Norbert Böwering, Oleh Khodykin, David Brandt Cymer,

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Status of multilayer coatings for EUV Lithography

Status of multilayer coatings for EUV Lithography Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn

More information

ANAMORPHOTIC TELESCOPE FOR EARTH OBSERVATION IN THE MID- INFRARED RANGE

ANAMORPHOTIC TELESCOPE FOR EARTH OBSERVATION IN THE MID- INFRARED RANGE ANAMORPHOTIC TELESCOPE FOR EARTH OBSERVATION IN THE MID- INFRARED RANGE Thomas Peschel 1, Christoph Damm 1, Sebastian Scheiding 1,, Matthias Beier 1, Stefan Risse 1, Susanne Nikolov 3, Wolfgang Holota

More information

Design of multilayer X-ray mirrors and systems

Design of multilayer X-ray mirrors and systems Design of multilayer X-ray mirrors and systems T. Holz*, R. Dietsch*, S. Braun**, A. Leson** * AXO DRESDEN GmbH, Germany ** Fraunhofer IWS Dresden, Germany Introduction CHARACTERISTICS 1D periodicity of

More information

1. Introduction. 2. Spectrophotometric Measurements. A. Measurement Procedure

1. Introduction. 2. Spectrophotometric Measurements. A. Measurement Procedure Optical characterization of an unknown single layer: Institut Fresnel contribution to the Optical Interference Coatings 2004 Topical Meeting Measurement Problem Fabien Lemarchand, Carole Deumié, Myriam

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

Optical characterization of highly inhomogeneous thin films

Optical characterization of highly inhomogeneous thin films Optical characterization of highly inhomogeneous thin films D.M. Rosu, A. Hertwig, P. Petrik, U. Beck Department 6.7 - Surface Modification and Measurement Technique BAM - Federal Institute for Materials

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

DESIGN OF AN IMAGING SPECTROMETER FOR EARTH OBSERVATION USING FREEFORM MIRRORS

DESIGN OF AN IMAGING SPECTROMETER FOR EARTH OBSERVATION USING FREEFORM MIRRORS DESIGN OF AN IMAGING SPECTROMETER FOR EARTH OBSERVATION USING FREEFORM MIRRORS T. Peschel 1, C. Damm 1, M. Beier 1, A. Gebhard 1, S. Risse 1, I. Walter 2, I. Sebastian 2, D. Krutz 2. 1 Fraunhofer Institut

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

The Story of Xe 21+ at FLASH

The Story of Xe 21+ at FLASH X ray Science in the 21th Century Santa Barbara, August 2 to 6, 2010 The Story of Xe 21+ at FLASH M. Richter Physikalisch Technische Bundesanstalt (PTB) I PB Multiple Ionization of Xenon in the EUV (13.3

More information

Multilayer optics for next-generation EUVL systems

Multilayer optics for next-generation EUVL systems Multilayer optics for next-generation EUVL systems Regina Soufli regina.soufli@llnl.gov Lawrence Livermore National Laboratory 2009 International Workshop on EUV Lithography, Honolulu, Oahu July 16, 2009

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

A neutron polariser based on magnetically remanent Fe/Si supermirrors

A neutron polariser based on magnetically remanent Fe/Si supermirrors Jochen Stahn Laboratorium für Neutronenstreuung ETH Zürich & Paul Scherrer Institut A neutron polariser based on magnetically remanent Fe/Si supermirrors ILL, Grenoble 8. 0. 2006 neutron optics group PSI:

More information

X-ray optics for the LCLS free-electron laser

X-ray optics for the LCLS free-electron laser UCRL-PRES-433855 X-ray optics for the LCLS free-electron laser Lawrence Livermore National Laboratory 2010 International Workshop on EUV Sources, University College Dublin, Ireland 14 November, 2010 This

More information

Image Degradation from Surface Scatter in EUV Optics

Image Degradation from Surface Scatter in EUV Optics Image Degradation from Surface Scatter in EUV Optics D. P. Gaines, T. P. Daly, D. G. Steams, B. LaFontaine, D. W. Sweeney, D. Fuchs This paper was prepared for submittal to the Optical Society of America

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic spectrum

More information

Supporting Information for: Transformation in VO 2 Thin Films by

Supporting Information for: Transformation in VO 2 Thin Films by Supporting Information for: Imaging Nanometer Phase Coexistence at Defects During the Insulator-Metal Phase Transformation in VO 2 Thin Films by Resonant Soft X-Ray Holography Luciana Vidas,, Christian

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Ultra low-loss low-efficiency diffraction gratings

Ultra low-loss low-efficiency diffraction gratings Ultra low-loss low-efficiency diffraction gratings T. Clausnitzer, E.-B. Kley, A. Tünnermann Institut für Angewandte Physik, Friedrich-Schiller Universität, Max-Wien Platz 1, 07743 Jena, Germany clausnitzer@iap.uni-jena.de

More information

Multilayer coating facility for the HEFT hard X-ray telescope

Multilayer coating facility for the HEFT hard X-ray telescope Multilayer coating facility for the HEFT hard X-ray telescope Carsten P. Jensen a, Finn E. Christensen a, Hubert Chen b, Erik B. W.Smitt a, Eric Ziegler c a Danish Space Research Institute (Denmark); b

More information

Evaluation of Mo/Si multilayer for EUVL mask blank

Evaluation of Mo/Si multilayer for EUVL mask blank Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Ultra Precision Manufacturing

Ultra Precision Manufacturing CIOMP WorkShop Ultra Precision Manufacturing of Aspheres and Freeforms CiOMP UPM workshops bring together scientists, manufacturers and vendors in a collaborative and informative environment. Traditionally,

More information

Damage to optics under irradiations with the intense EUV FEL pulses

Damage to optics under irradiations with the intense EUV FEL pulses Damage to optics under irradiations with the intense EUV FEL pulses Ryszard Sobierajski 1, Eric Louis 2 1 Institute of Physics PAS, 2 Universiteit Twente Damage to optics - motivation Properties of the

More information

Lobster-Eye Hard X-Ray Telescope Mirrors

Lobster-Eye Hard X-Ray Telescope Mirrors Lobster-Eye Hard X-Ray Telescope Mirrors Victor Grubsky, Michael Gertsenshteyn, Keith Shoemaker, Igor Mariyenko, and Tomasz Jannson Physical Optics Corporation, Torrance, CA Mirror Technology Days 007

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Testing X-ray Gratings for Polarization Sensitivity

Testing X-ray Gratings for Polarization Sensitivity 1 Testing X-ray Gratings for Polarization Sensitivity Hannah Marlowe, 1 In collaboration with: Randall McEntaffer, 1 Casey DeRoo, 1 Drew M. Miles, 1 James H. Tutt, 1 Christian Laubis, 2 Victor Soltwisch,

More information

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development Paola Zuppella Padova Palazzo Bo Anatomical theatre St Anthony Cathedral Institutions University of

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

Potential Synergies of Theorem Proving and Model Checking for Software Product Lines

Potential Synergies of Theorem Proving and Model Checking for Software Product Lines Potential Synergies of Theorem Proving and Model Checking for Software Product Lines Thomas Thüm 1, Jens Meinicke 1, Fabian Benduhn 1, Martin Hentschel 2, Alexander von Rhein 3, Gunter Saake 1 May 7th,

More information

Design and Space Qualification of a VUV Telescope Mirror for Solar Orbiter SPICE

Design and Space Qualification of a VUV Telescope Mirror for Solar Orbiter SPICE Design and Space Qualification of a VUV Telescope Mirror for Solar Orbiter SPICE Udo Schühle MPS Solar Group Seminar Overview Introduc/on: The Solar Orbiter Mission The SPICE Spectrograph SPICE Telescope

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

THESIS SCATTER LOSS AND SURFACE ROUGHNESS OF HAFNIUM OXIDE THIN FILMS. Submitted by. Jonathan Tollerud

THESIS SCATTER LOSS AND SURFACE ROUGHNESS OF HAFNIUM OXIDE THIN FILMS. Submitted by. Jonathan Tollerud THESIS SCATTER LOSS AND SURFACE ROUGHNESS OF HAFNIUM OXIDE THIN FILMS Submitted by Jonathan Tollerud Department of Electrical and Computer Engineering In partial fulfillment of the requirements For the

More information

IMAGING THE EUV CORONA EXTREME ULTRAVIOLET IMAGER WITH THE PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT

IMAGING THE EUV CORONA EXTREME ULTRAVIOLET IMAGER WITH THE PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT IMAGING THE EUV CORONA WITH THE EXTREME ULTRAVIOLET IMAGER PRECURSOR OBSERVATIONS FROM THE HECOR SOUNDING ROCKET EXPERIMENT Frédéric Auchère, for the EUI consortium 2 nd METIS science & technical meeting

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

A Multipass Optics for Quantum-Well-Pumped Semiconductor Disk Lasers

A Multipass Optics for Quantum-Well-Pumped Semiconductor Disk Lasers Multipass-Pumped Semiconductor Disk Lasers 37 A Multipass Optics for Quantum-Well-Pumped Semiconductor Disk Lasers Markus Polanik The pump absorption of quantum-well-pumped semiconductor disk lasers can

More information

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Lin Zschiedrich, Sven Burger, Achim Schädle, Frank Schmidt Zuse Institute Berlin, JCMwave GmbH NUSOD,

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers v b Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers S P Vernon, D R Kania, P A Kearney, R A Levesque Lawrence Livermore National

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs Electron Beam Curable Varnishes Rapid Processing of Planarization Layers on Polymer Webs Juliane Fichtner, Michaela Hagenkamp, Markus Noss, Steffen Günther Fraunhofer Institute for Organic Electronics,

More information

Preparation of PDMS Ultrathin Films and Patterned

Preparation of PDMS Ultrathin Films and Patterned Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Preparation of PDMS Ultrathin Films and Patterned Surface

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR Copyright(c)JCPDS-International Centre for Diffraction Data 2000,Advances in X-ray Analysis,Vol.43 218 REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR S. M. Owens Laboratory for High Energy Astrophysics,

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

ULTRATHIN LAYER DEPOSITIONS A NEW TYPE OF REFERENCE SAMPLES FOR HIGH PERFORMANCE XRF ANALYSIS

ULTRATHIN LAYER DEPOSITIONS A NEW TYPE OF REFERENCE SAMPLES FOR HIGH PERFORMANCE XRF ANALYSIS 298 299 ULTRATHIN LAYER DEPOSITIONS A NEW TYPE OF REFERENCE SAMPLES FOR HIGH PERFORMANCE XRF ANALYSIS M. Krämer 1), R. Dietsch 1), Th. Holz 1), D. Weißbach 1), G. Falkenberg 2), R. Simon 3), U. Fittschen

More information

Reflectometry with Synchrotron Radiation

Reflectometry with Synchrotron Radiation Michael Krumrey*, Levent Cibik, Andreas Fischer, Alexander Gottwald, Udo Kroth, Frank Scholze Introduction High-quality reflection measurements for the characterization of optical components, for layer

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

t s ncos(α) + cos(β). Including this ratio and averaging over the area the front element of the focusing optics, we obtain

t s ncos(α) + cos(β). Including this ratio and averaging over the area the front element of the focusing optics, we obtain Raman tensor elements of β-ga 2 O 3 Supplementary Material Christian Kranert,2, Chris Sturm, Rüdiger Schmidt-Grund, and Marius Grundmann Universität Leipzig, Institut für Experimentelle Physik II, Abteilung

More information

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films David L. Windt Reflective X-ray Optics LLC, 1361 Amsterdam Ave., Suite 3B, New York, NY 10027 ABSTRACT We have

More information

Functionally Graded Materials in Industrial Mass Production Volume 2. Hans-Peter Heim Dirk Biermann Werner Homberg

Functionally Graded Materials in Industrial Mass Production Volume 2. Hans-Peter Heim Dirk Biermann Werner Homberg Functionally Graded Materials in Industrial Mass Production Volume 2 Hans-Peter Heim Dirk Biermann Werner Homberg Functionally Graded Materials in Industrial Mass Production Volume 2 Edited by Prof. Hans-Peter

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

The gravitational waves detection: 20 years of research to deliver the LIGO/VIRGO mirrors. Christophe MICHEL on behalf of LMA Team

The gravitational waves detection: 20 years of research to deliver the LIGO/VIRGO mirrors. Christophe MICHEL on behalf of LMA Team Christophe MICHEL on behalf of LMA Team 1 The event February 11th 2016 LIGO and VIRGO announced the first direct detection of gravitational waves https://www.youtube.com/watch?v=vd1pak5f6gq http://journals.aps.org/prl/abstract/10.1103/physrevlett.1

More information

Application Note. Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy

Application Note. Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

AGENDA ALL LECTURES. 30. September robeko in-house exhibition & workshop PLASMATECHNOLOGY robeko

AGENDA ALL LECTURES. 30. September robeko in-house exhibition & workshop PLASMATECHNOLOGY robeko robeko in-house exhibition & workshop PLASMATECHNOLOGY 29.09.2015 01.10.2015 AGENDA ALL LECTURES robeko An der Heide 3B D-67678 Mehlingen Phone +49 6303 8065638 Fax +49 6303 8065639 E-mail info@robeko.de

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Impact of Chamber Pressure on Sputtered Particle Energy

Impact of Chamber Pressure on Sputtered Particle Energy Wilmert De Bosscher Chief Technology Officer +32 9381 6177 wilmert.debosscher@soleras.com Impact of Chamber Pressure on Sputtered Particle Energy Tampa, October 18 th, 2017 Background Why Sputtering at

More information

Ultra-wide broadband dielectric mirrors for solar collector applications

Ultra-wide broadband dielectric mirrors for solar collector applications Ultra-wide broadband dielectric mirrors for solar collector applications Markus Fredell, Kirk Winchester, Gregg Jarvis, Sarah Locknar, Robert Johnson, Jr. and Mark Keevers Omega Optical, Inc. 21 Omega

More information

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017 ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems Prof. Peter Bermel April 12, 2017 Ideal Selective Solar Absorber Efficiency Limits Ideal cut-off wavelength for a selective

More information

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die printing system combined with grazing incidence X-ray diffraction (GIXD) set-up. 1 Supplementary Figure 2 2D GIXD images

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

2. The figure shows the path of a portion of a ray of light as it passes through three different materials. Note: The figure is drawn to scale.

2. The figure shows the path of a portion of a ray of light as it passes through three different materials. Note: The figure is drawn to scale. 1. The bending of light as it moves from one medium to another with differing indices of refraction is due to a change in what property of the light? A) amplitude B) period C) frequency D) speed E) color

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

SIMBOL-X X optics: design and implementation

SIMBOL-X X optics: design and implementation SIMBOL-X X optics: design and implementation Giovanni Pareschi, Oberto Citterio INAF Brera Astronomical Observatory 23807 Merate (Lc) ITALY E-mail: pareschi@merate.mi.astro.it 30 m Outline the SIMBOL-X

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

XRD endstation: condensed matter systems

XRD endstation: condensed matter systems XRD endstation: condensed matter systems Justine Schlappa SCS Instrument Beamline Scientist Hamburg, January 24, 2017 2 Outline Motivation Baseline XRD setup R&D setup Two-color operation and split&delay

More information

Using Multilayer Optics to Measure X-ray Polarization. Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M.

Using Multilayer Optics to Measure X-ray Polarization. Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M. Using Multilayer Optics to Measure X-ray Polarization Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M. Weisskopf (MSFC) Background: an Attempt to Measure EUV Polarization -

More information

Enhanced backscattering due to total internal reflection at a dielectric-air interface

Enhanced backscattering due to total internal reflection at a dielectric-air interface JOURNAL OF MODERN OPTICS, 1995, VOL. 42, NO. 2, 257-269 Enhanced backscattering due to total internal reflection at a dielectric-air interface R. E. LUNAt, E. R. MENDEZ Centro de Investigacion Cientifica

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

PROJECT REPORT P-14534: "The Physico-Chemical Interaction between Copper Coatings and Modified Carbon Surfaces"

PROJECT REPORT P-14534: The Physico-Chemical Interaction between Copper Coatings and Modified Carbon Surfaces PROJECT REPORT P-14534: "The Physico-Chemical Interaction between Copper Coatings and Modified Carbon Surfaces" Report on the scientific work Information on the development of the research work Metal Matrix

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

IDECAT WP3 Seminar. Prag November 28,2006

IDECAT WP3 Seminar. Prag November 28,2006 IDECAT WP3 Seminar Prag November 28,2006 High pressure XPS: A tool for the investigation of heterogeneous catalytic processes A. Knop-Gericke, D.Teschner, H. Bluhm, M. Hävecker (knop@fhi-berlin.mpg.de)

More information

TIE-43: Optical Properties of ZERODUR

TIE-43: Optical Properties of ZERODUR PAGE 1/12 0 Introduction ZERODUR is a glass-ceramic material exhibiting a very low coefficient of thermal expansion The material is therefore used as a mirror substrate for astronomical telescopes or as

More information

A facility for Femtosecond Soft X-Ray Imaging on the Nanoscale

A facility for Femtosecond Soft X-Ray Imaging on the Nanoscale A facility for Femtosecond Soft X-Ray Imaging on the Nanoscale Jan Lüning Outline Scientific motivation: Random magnetization processes Technique: Lensless imaging by Fourier Transform holography Feasibility:

More information

Definition of roughness structures for superhydrophobic and hydrophilic optical coatings on glass

Definition of roughness structures for superhydrophobic and hydrophilic optical coatings on glass Definition of roughness structures for superhydrophobic and hydrophilic optical coatings on glass Luisa Coriand, 1,2, * Monika Mitterhuber, 3 Angela Duparré, 1 and Andreas Tünnermann 1,2 1 Fraunhofer Institute

More information

LIGHT CONTROLLED PHOTON TUNNELING. University of Maryland, College Park, MD Phone: , Fax: ,

LIGHT CONTROLLED PHOTON TUNNELING. University of Maryland, College Park, MD Phone: , Fax: , LIGHT CONTROLLED PHOTON TUNNELING Igor I. Smolyaninov 1), Anatoliy V. Zayats 2), and Christopher C. Davis 1) 1) Department of Electrical and Computer Engineering University of Maryland, College Park, MD

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information