Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Size: px
Start display at page:

Download "Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source"

Transcription

1 Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego 2008 International Workshop on EUV Lithography June th, Maui, Hawaii, USA. 1

2 Contents 1. Backgrounds 2. CO 2 MOPA laser and diagnostics for fundamental EUVL source research 3. Results from CO 2 laser-produced Sn plasma Plasma density profile Effect of wavelength on mass of ablated material Effect of contamination of the target Effect of pulse duration 4. Discussions 2

3 1. Backgrounds - Is fundamental research still necessary for EUVL source? CO 2 laser-produced Sn plasma is one of the most promising candidates for HVM EUVL source due to its high efficiency, relatively low cost, and the ability to scale to high power. Issues remaining in CO 2 LPP EUVL source 1. Laser cost of ownership 2. CE- higher value is still possible, droplet 3. Debris and out-of-band radiation 4. Mass-limited Sn target operation Fundamental research is necessary 3

4 2. A CO 2 MOPA laser for EUV source fundamental research was developed at UCSD Oscillator. LPX-210 i Pre-Amp Questek- Nd:YAG laser Final AMP Lumonics LaserMark 3 TEA CO 2 lasers LPX-Osc, Questek-Pre-Amp, Lumonics-Final-Amp 1 Nd:YAG laser, trigger air-breakdown Synchronization DG 535-II from DG 535 I 4

5 Pulse durations from 15 to 110 ns are obtained by an external triggered air breakdown plasma Characters of the MOPA system Temporal shape of CO 2 laser pulse obtained using the plasma shutter at various delay times Beam size: φ12 mm Laser pulse durations: 15 to 110 ns Pulse energy: 100 mj (15 ns), 150 mj (25ns), 400 mj (60 ns), 550 mj (110ns) Focal spot: ~ 200 μm (F/10), 100 μm (F/5) Intensity: W/cm 2, W/cm 2 5

6 Comprehensive diagnostics for EUV, debris, and plasma CO 2 laser DG 535 I To DG 535 II ns Nd:YAG laser Laser pulse energy and temporal shape are monitored for each shot. E-Mon, FC, TGS, Interferometer, visible spectrometer and newly developed diagnostics are employed. 6

7 Temporally resolved in-band 13.5 nm EUV light detector was setup Diagnostics for temporal shape of in-band 13.5 nm EUV EUV mirror EUV PD laser EUV mirror: NTT, 13.5 nm, multi-layers Mo/Si EUV PD: IRD AXUV HS5 Transmission of Zr and Zr plus Rising time : 700 ps Mo/Si mirror Bandwidth: Broadband, 7-17 nm In-band 4% at 13.5 nm 7

8 Narrow-band EUV detector provides more accurate information about the dynamics of inband EUV emission Broadband light has a slower rising and falling slopes, and has a wider FWHM. This different comes from out-ofband emission. In-band provides more accurate information 8

9 In-band 13.5 nm EUV imaging laser Zr filter Mo/Si mirror CCD plasma EUV mirror: NTT, 13.5 nm, multi-layers Mo/Si CCD camera: Bandwidth: 4% at 13.5 nm Spatial resolution: 5 μm 9

10 A quarter- static electric energy analyzer was developed 10

11 3. The MOPA CO 2 laser can produce enough intensity to generate efficient 13.5 nm EUV light In-band CE vs. focus lens position Soft x-ray spectra under various intensities DOF ~ 1.5 mm CE dip located at the best focus and the spectral peak located at 13.5 nm reveals that the laser intensity of the MOPA CO 2 laser reaches the optimum intensity for EUV experiment. 11

12 Accurate time-resolved interferogram is obtained with a fine time synchronization of the MOPA laser 0 ns 30 ns 50 ns Data is under analyzing 200 μm 12

13 laser CO 2 laser ablates much less material as compared with that of Nd:YAG laser CO 2 laser Sn Plasma 200 μm YAG laser Sn Plasma For both cases, the probe beam is 532 nm green light. For Nd:YAG laser, the broad black region represents the region with a density above the n c ( cm -3 ) for green light. For CO 2 laser, the opaque region is very small. The fringe shift gives out the density of the region around marked by the red line, ~10 19 cm -3. At least, 100 times less material is ablated by CO 2 laser as compared with Nd:YAG laser while CO 2 has a higher CE. Efforts to understand the fundamentals are necessary. 13

14 CO 2 laser is very sensitive to the contaminations E = 2 kev Single peak for 2 nd and after shots. Double peaks from fresh target surface The fast peak may come from the contaminations (C,O from pump) on the surface. This double peaks was never observed for Nd:YAG laser. For lower laser intensity, most of the laser energy goes into contaminations. Energetic Sn ions are produced by CO 2 laser. 14

15 In-band CE only weakly depends on pulse duration In-band CE, 2.8 % (2 π), is constantly obtained with CO 2 laser pulse with pulse durations from 25 to 110 ns. Long pulse could significantly simplify and reduce the cost of the CO 2 laser used in EUVL source. Larger pulse energy accompanying with long pulse makes it easier to realize mass-limited target for droplet target Long pulse may make it easier for alignment. Further effort is necessary to clarify the plasma physics dominating this effect. 15

16 The temporal shape of the in-band 13.5 nm EUV light follows that of laser pulse In-band 13.5 nm EUV light temporally follows laser pulse. For short pulse, EUV light is a little wider than laser, comes. For short pulse, EUV lasts for several ns even after the laser turns off For long pulse, EUV is shorter than laser. Even the short tail can contribute to a little EUV emission generation. So it is reasonable to get high CE even with a long pulse. 16

17 Similar soft x-ray spectra are observed for various pulse durations Spectra with 25 and 50 ns laser pulses are the same. Spectra from 100 ns pulse is similar with those of short pulse, except for a shift of spectral peak. The spectral shift of the peak comes from the temporal average. The low intensity tail of the long pulse contributes a lower T e, resulting a spectral shift towards long wavelength. This confirms the constant CE observed over a wide range of pulse durations. 17

18 Long pulse and the tails produces extra slow ions Long pulse produces extra slow ions, arising from the 3 times extra energy. More input energy, more EUV light, more slow ions. GOOD! Short tail produces extra slow ions. OK! Long tail produces a lot of extra slow ions. BAD! 18

19 l abs 4. Discussions The absorption length of laser light in plasma at various plasma densities for Nd:YAG and CO 2 lasers The absorption length for 1/e intensity attenuation of laser light in plasma is obtained from D.Attwood, Soft x-ray and EUV radiation. = n n c e v v g ei 1 n e / n Zn c 2 e ( kt For long pulse Nd:YAG laser, distributed laser absorption is significant. For CO 2 laser, most of the laser energy is always locally absorbed around the n c. e 3/ 2 ) Absorption length of laser light in plasma (kt e =30 ev, Z=10) Density Nd:YAG CO 2 (μm) (μm) n c / n c / n c / Several previous experiments have shown that CO2 laser-produced Sn plasma has a narrower spectrum than that of Nd:YAG laser, confirming the above estimation.

20 Summary 1. Capabilities to carry out fundamental researches for CO 2 laser-produced EUVL source have been develop at UCSD. 2. It was found that in-band CE weakly depends on pulse duration. CE 2.8 %, is obtained over pulse durations from 25 ns to 110 ns. 3. It was noted that CO 2 laser is sensitive to the contamination of the Sn target. 4. Accurate interferometry was obtained for CO 2 Sn plasma, data is under analyzing. 5. Interferogram shows that CO 2 laser ablates much less materials as compared with Nd:YAG laser while CO 2 has a higher CE. 6. Temporal shape of in-band 13.5 nm EUV emission was observed. It was shown that the temporal shape of the 13.5 nm EUV light follows that of laser pulse. 7. Long pulse only produces additional slow ions as compared with that of short pulse. Even a short tail may be acceptable. 20

21 Acknowledgements This work was supported by Cymer Inc. and by the University of California (UC) under the UC Industry-University Cooperative Research Program (ele ). 21

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

EXTREME ULTRAVIOLET (EUV) lithography (EUVL)

EXTREME ULTRAVIOLET (EUV) lithography (EUVL) 714 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 38, NO. 4, APRIL 2010 Interaction of a CO 2 Laser Pulse With Tin-Based Plasma for an Extreme Ultraviolet Lithography Source Yezheng Tao, Mark S. Tillack, Sam

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Extreme-ultraviolet radiation transport in small scale length laser-produced tin plasmas

Extreme-ultraviolet radiation transport in small scale length laser-produced tin plasmas University of California, San Diego UCSD-CER-09-01 Extreme-ultraviolet radiation transport in small scale length laser-produced tin plasmas Kevin L. Sequoia 5 February 2009 Center for Energy Research University

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Chamber Development Plan and Chamber Simulation Experiments

Chamber Development Plan and Chamber Simulation Experiments Chamber Development Plan and Chamber Simulation Experiments Farrokh Najmabadi HAPL Meeting November 12-13, 2001 Livermore, CA Electronic copy: http://aries.ucsd.edu/najmabadi/talks UCSD IFE Web Site: http://aries.ucsd.edu/ife

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Laser Produced Plasma Light Source For Euvl Cymer

Laser Produced Plasma Light Source For Euvl Cymer We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with laser produced plasma

More information

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Richard Miles and Arthur Dogariu Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Workshop on Oxygen Plasma Kinetics Sept 20, 2016 Financial support: ONR and MetroLaser

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Laser Dissociation of Protonated PAHs

Laser Dissociation of Protonated PAHs 100 Chapter 5 Laser Dissociation of Protonated PAHs 5.1 Experiments The photodissociation experiments were performed with protonated PAHs using different laser sources. The calculations from Chapter 3

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information Speckle-free laser imaging using random laser illumination Brandon Redding 1*, Michael A. Choma 2,3*, Hui Cao 1,4* 1 Department of Applied Physics, Yale University, New Haven,

More information

Experimental and numerical investigations on the density profile of CO 2 laser-produced Sn plasma for an EUVL source

Experimental and numerical investigations on the density profile of CO 2 laser-produced Sn plasma for an EUVL source Experimental and numerical investigations on the density profile of CO 2 laser-produced Sn plasma for an EUVL source Y. Tao* a, Y.Ueno a,b, S.Yuspeh a,c, R.A.Burdt a,c, N. Amin a,d, N. M. Shaikh a,e, M.S.Tillack

More information

Thomson Scattering from Nonlinear Electron Plasma Waves

Thomson Scattering from Nonlinear Electron Plasma Waves Thomson Scattering from Nonlinear Electron Plasma Waves A. DAVIES, 1 J. KATZ, 1 S. BUCHT, 1 D. HABERBERGER, 1 J. BROMAGE, 1 J. D. ZUEGEL, 1 J. D. SADLER, 2 P. A. NORREYS, 3 R. BINGHAM, 4 R. TRINES, 5 L.O.

More information

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2 Appl. Phys. A 77, 217 221 (2003) DOI: 10.1007/s00339-003-2142-4 Applied Physics A Materials Science & Processing c. keyser 1, g. schriever 1, m. richardson 1, Studies of high-repetition-rate laser plasma

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China Research of the EUV radiation and CO 2 Laser produced tin plasma Wang Xinbing 1 *, Zuo DouLuo 1, Lu Peixiang 2, Wu Tao 3 1 Wuhan National Laboratory for Optoelectronics, Huazhong University of Science

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Investigations on warm dense plasma with PHELIX facility

Investigations on warm dense plasma with PHELIX facility 2 nd EMMI Workshop on Plasma Physics with Intense Laser and Heavy Ion Beams, May 14-15, Moscow Investigations on warm dense plasma with PHELIX facility S.A. Pikuz Jr., I.Yu. Skobelev, A.Ya. Faenov, T.A.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature10721 Experimental Methods The experiment was performed at the AMO scientific instrument 31 at the LCLS XFEL at the SLAC National Accelerator Laboratory. The nominal electron bunch charge

More information

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES Chemistry 524--Final Exam--Keiderling May 4, 2011 3:30 -?? pm -- 4286 SES Please answer all questions in the answer book provided. Calculators, rulers, pens and pencils are permitted. No open books or

More information

Electron-Acoustic Wave in a Plasma

Electron-Acoustic Wave in a Plasma Electron-Acoustic Wave in a Plasma 0 (uniform ion distribution) For small fluctuations, n ~ e /n 0

More information

Institute for Laser Technology

Institute for Laser Technology Shinsuke Fujioka, Teruyuki Ugomori, Kensuke Yoshida, Chaogang Li, Atsushi Sunahara A, Katsunobu Nishihara, Nozomi Tanaka, Hiroaki Nishimura Institute of Laser Engineering, Osaka University A Institute

More information

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source Appl. Phys. B 92, 73 77 (2008) DOI: 10.1007/s00340-008-3068-5 Applied Physics B Lasers and Optics a. takahashi 1, d. nakamura 2 k. tamaru 2 t. akiyama 2 t. okada 2 Emission characteristics of debris from

More information

Measurement of Long-Scale-Length Plasma Density Profiles for Two-Plasmon Decay Studies

Measurement of Long-Scale-Length Plasma Density Profiles for Two-Plasmon Decay Studies Measurement of Long-Scale-Length Plasma Density Profiles for Two-Plasmon Decay Studies Plasma density scale length at 10 21 cm 3 (nm) 350 300 250 200 150 100 0 Flat foil 2 4 6 8 10 100 Target diameter

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Introduction to FT-IR Spectroscopy

Introduction to FT-IR Spectroscopy Introduction to FT-IR Spectroscopy An FT-IR Spectrometer is an instrument which acquires broadband NIR to FIR spectra. Unlike a dispersive instrument, i.e. grating monochromator or spectrograph, an FT-IR

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Diagnostics for laser plasma EUV sources

Diagnostics for laser plasma EUV sources Diagnostics for laser plasma EUV sources M. Richardson, a) C-S. Koay, K. Takenoshita, C. Keyser, b) R. Bernath, S. George, and S. Teerawattansook Laser Plasma Laboratory, College of Optics and Photonics:

More information

Short Pulse, Low charge Operation of the LCLS. Josef Frisch for the LCLS Commissioning Team

Short Pulse, Low charge Operation of the LCLS. Josef Frisch for the LCLS Commissioning Team Short Pulse, Low charge Operation of the LCLS Josef Frisch for the LCLS Commissioning Team 1 Normal LCLS Parameters First Lasing in April 10, 2009 Beam to AMO experiment August 18 2009. Expect first user

More information

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation PRAMANA c Indian Academy of Sciences Vol. 82, No. 2 journal of February 2014 physics pp. 365 371 Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser

More information

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source C. Blome, K. Sokolowski-Tinten *, C. Dietrich, A. Tarasevitch, D. von der Linde Inst. for Laser- and

More information

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range 2 nd Swedish-German Workshop on X-Ray Optics HZB Berlin-Adlershof, 28-30 April 2015 Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range K. Mann J.O. Dette, J. Holburg, F.

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

Experimental study of nonlinear laser-beam Thomson scattering

Experimental study of nonlinear laser-beam Thomson scattering Experimental study of nonlinear laser-beam Thomson scattering T. Kumita, Y. Kamiya, T. Hirose Department of Physics, Tokyo Metropolitan University, 1-1 Minami-Ohsawa, Hachioji, Tokyo 192-0397, Japan I.

More information

High-Harmonic Generation II

High-Harmonic Generation II Soft X-Rays and Extreme Ultraviolet Radiation High-Harmonic Generation II Phasematching techniques Attosecond pulse generation Applications Specialized optics for HHG sources Dr. Yanwei Liu, University

More information

Spatially and temporally resolved temperature measurements of plasma generated in percussion drilling with a diode-pumped Nd:YAG laser

Spatially and temporally resolved temperature measurements of plasma generated in percussion drilling with a diode-pumped Nd:YAG laser JOURNAL OF APPLIED PHYSICS VOLUME 84, NUMBER 8 15 OCTOBER 1998 Spatially and temporally resolved temperature measurements of plasma generated in percussion drilling with a diode-pumped Nd:YAG laser M.

More information

Nanosecond Broadband Spectroscopy For Laser-Driven Compression Experiments

Nanosecond Broadband Spectroscopy For Laser-Driven Compression Experiments Nanosecond Broadband Spectroscopy For Laser-Driven Compression Experiments Dylan K. Spaulding, R. Jeanloz Department of Earth and Planetary Science, University of California, Berkeley307 McCone Hall, Berkeley,

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

SEMATECH 157nm Technical Review

SEMATECH 157nm Technical Review SEMATECH 157nm Technical Review Technical Status Report on F2 - Lasers for 157nm Lithography I. Klaft a), F. Voss a), I. Bragin a), E. Bergmann a), T. Nagy a), N. Niemöller a), K.Vogler a), S. Spratte

More information

ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION

ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION R. KODAMA, H. FUJITA, N. IZUMI, T. KANABE, Y. KATO*, Y. KITAGAWA, Y. SENTOKU, S. NAKAI, M. NAKATSUKA, T. NORIMATSU,

More information

X ray and XUV phase contrast diagnostics for ELI NP

X ray and XUV phase contrast diagnostics for ELI NP X ray and XUV phase contrast diagnostics for ELI NP D. Stutman 1,2, F. Negoita 1 and D. Ursescu 1 1 ELI NP, Bucharest Magurele, Romania 2 Johns Hopkins University, Baltimore, USA CARPATHIAN SUMMER SCHOOL

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

New Plasma Diagnosis by Coherence Length Spectroscopy

New Plasma Diagnosis by Coherence Length Spectroscopy New Plasma Diagnosis by Coherence Length Spectroscopy Nopporn Poolyarat a and Young W. Kim b a The Development and Promotion of Science and Technology (DPST), Thailand b Department of Physics, Lehigh University

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

CHEM*3440. Photon Energy Units. Spectrum of Electromagnetic Radiation. Chemical Instrumentation. Spectroscopic Experimental Concept.

CHEM*3440. Photon Energy Units. Spectrum of Electromagnetic Radiation. Chemical Instrumentation. Spectroscopic Experimental Concept. Spectrum of Electromagnetic Radiation Electromagnetic radiation is light. Different energy light interacts with different motions in molecules. CHEM*344 Chemical Instrumentation Topic 7 Spectrometry Radiofrequency

More information

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Alex I. Ershov, G.G. Padmabandu, Jeremy Tyler, Palash P. Das Cymer, Inc. 16750 Via Del Campo Court, San Diego, CA 92127

More information

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Physics Physics fields Okayama University Year 2005 Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Shinsuke Fujioka, Osaka University Hiroaki Nishimura, Osaka University

More information

plasma optics Amplification of light pulses: non-ionised media

plasma optics Amplification of light pulses: non-ionised media Amplification of light pulses: non-ionised media since invention of laser: constant push towards increasing focused intensity of the light pulses Chirped pulse amplification D. Strickland, G. Mourou, Optics

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas P. J. Skrodzki Acknowledgements This work is supported by the DOE/NNSA Office of Nonproliferation

More information

Intrinsic beam emittance of laser-accelerated electrons measured by x-ray spectroscopic imaging

Intrinsic beam emittance of laser-accelerated electrons measured by x-ray spectroscopic imaging Intrinsic beam emittance of laser-accelerated electrons measured by x-ray spectroscopic imaging G. Golovin 1, S. Banerjee 1, C. Liu 1, S. Chen 1, J. Zhang 1, B. Zhao 1, P. Zhang 1, M. Veale 2, M. Wilson

More information

Lifecycle of laser-produced air sparks

Lifecycle of laser-produced air sparks Lifecycle of laser-produced air sparks S. S. Harilal, 1 B. E. Brumfield, and M. C. Phillips Pacific Northwest National Laboratory, P.O. Box 999, Richland, Washington 99352, USA We investigated the lifecycle

More information

Supplementary Material for In situ frequency gating and beam splitting of vacuum- and extreme-ultraviolet pulses

Supplementary Material for In situ frequency gating and beam splitting of vacuum- and extreme-ultraviolet pulses Supplementary Material for In situ frequency gating and beam splitting of vacuum- and extreme-ultraviolet pulses Rajendran Rajeev, Johannes Hellwagner, Anne Schumacher, Inga Jordan, Martin Huppert, Andres

More information

PHY410 Optics Exam #3

PHY410 Optics Exam #3 PHY410 Optics Exam #3 NAME: 1 2 Multiple Choice Section - 5 pts each 1. A continuous He-Ne laser beam (632.8 nm) is chopped, using a spinning aperture, into 500 nanosecond pulses. Compute the resultant

More information

Appendix A Detector Calibration

Appendix A Detector Calibration Appix A Detector Calibration The scattering pattern from single clusters analyzed in Sect. 3.5 have been obtained with a large area detector which allows for spatially resolved measurement of the scattered

More information

Fabry-Perot Interferometer for atmospheric monitoring useful for EAS detection E.Fokitis 1, K. Patrinos 1, Z. Nikitaki 1

Fabry-Perot Interferometer for atmospheric monitoring useful for EAS detection E.Fokitis 1, K. Patrinos 1, Z. Nikitaki 1 Fabry-Perot Interferometer for atmospheric monitoring useful for EAS detection E.Fokitis 1, K. Patrinos 1, Z. Nikitaki 1 ABSTRACT A piezotunable Fabry-Perot interferometer is studied as a candidate Doppler

More information

Observation of a multiply ionized plasma with index of refraction greater than one

Observation of a multiply ionized plasma with index of refraction greater than one LU9005 APS/123-PRL Observation of a multiply ionized plasma with index of refraction greater than one J. Filevich, J.J. Rocca, and M.C. Marconi NSF ERC for Extreme Ultraviolet Science and Technology and

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Time and space resolved spectroscopy of nanoenergetic materials Dana Dlott

Time and space resolved spectroscopy of nanoenergetic materials Dana Dlott Time and space resolved spectroscopy of nanoenergetic materials Dana Dlott Hyunung Yu Selezion A. Hambir School of Chemical Sciences and Fredrick Seitz Materials Research Laboratory University of Illinois

More information

Development of a table top TW laser accelerator for medical imaging isotope production

Development of a table top TW laser accelerator for medical imaging isotope production Development of a table top TW laser accelerator for medical imaging isotope production R U I Z, A L E X A N D R O 1 ; L E R A, R O B E R T O 1 ; T O R R E S - P E I R Ó, S A LVA D O R 1 ; B E L L I D O,

More information

Hiromitsu TOMIZAWA XFEL Division /SPring-8

Hiromitsu TOMIZAWA XFEL Division /SPring-8 TUPLB10 (Poster: TUPB080) Non-destructive Real-time Monitor to measure 3D- Bunch Charge Distribution with Arrival Timing to maximize 3D-overlapping for HHG-seeded EUV-FEL Hiromitsu TOMIZAWA XFEL Division

More information

Ion Acceleration from the Interaction of Ultra-Intense Laser Pulse with a Thin Foil

Ion Acceleration from the Interaction of Ultra-Intense Laser Pulse with a Thin Foil Ion Acceleration from the Interaction of Ultra-Intense Laser Pulse with a Thin Foil Matthew Allen Department of Nuclear Engineering UC Berkeley mallen@nuc.berkeley.edu March 15, 2004 8th Nuclear Energy

More information

Large Plasma Device (LAPD)

Large Plasma Device (LAPD) Large Plasma Device (LAPD) Over 450 Access ports Computer Controlled Data Acquisition Microwave Interferometers Laser Induced Fluorescence DC Magnetic Field: 0.05-4 kg, variable on axis Highly Ionized

More information