Nano fabrication by e-beam lithographie

Size: px
Start display at page:

Download "Nano fabrication by e-beam lithographie"

Transcription

1 Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1

2 Electron Beam Lithography - EBL Introduction Motivation General EBL process Fundamentals Basics and Physics of EBL Resist Technology harging EBL Writing Strategies Interaction between E-beam and Substrate Proximity Effect Proximity Function Periodic Structures Resolution Limits in EBL Some Special Exposure Techniques ell Projection Exposure Variable Dose Exposure Mask Preparation for Lift-ff verlay Exposure

3 Electron Beam Lithography Example: Diffraction grating on 9 fused silica mask blank

4 Motivation Def Lithography: Method for printing on a smooth surfcace Photolith., UV lith., Interference lith., Scanning probe lith., X-Ray lith., EBL, Why EBL? far-field imaging technique diffraction resolution limit (Abbe) sub-100nm-features: l de Broglie ~100nm U electron ~12 ev high technological standard of electron beam control and manipulation capable to structure arbitrary (non-periodic, non-symmetric) patterns Applications of EBL mask fabrication (e.g. chromium on glass) direct writing (rapid prototyping) nano devices in research and development (R&D) Requirements of EBL Extremly complex technological background, clean room Neccessatiy of experienced staff to operate an EBL system

5 General EBL Process Resist Functional layer (optional) Substrate Exposure Development Positive resist Negative resist Subtractive method Dry Etching Additive method Deposition of functional layer... Removal of Resist Lift-ff Final Element Final Element

6 Process Development: Lift-ff 1) Sample preparation 4) Layer deposition Resist Thin T layer Silica substrate Layer stack (Au, Mg, Au) 2) E-Beam Lithography 5) overed resist structure 3) Resist development 6) Wet-chemical lift-off

7 Lift-ff: ritical Steps Achieve an undercut resist profile E-Beam (Thick) Resist & Low beam energy verexposure verdevelopment E-Beam Top-Resist (low sensitivity) Bottom-resist (high sensitivity) Limits of aspect ratio Thick Resist: Thin Resist: J lean Lift-ff, elevated Lift-Layer J igher lateral resolution L Lateral resolution diminished due L Less undercut, Lift-ff unstable to enhanced electron scattering Rule of thumb: Resist thickness ~ 2-3x thickness of layer(s) to be lifted

8 Lift-ff: ritical Steps ighly Directional Deposition Sputtering: Thermal Evaporation: Evaporation is preferable Maximize distance between deposition source and target (>0,5 m) lear / Activate Sample Surface Ar-Plasma, eating,... Flawless Mask Lift-ff Long soaking of sample in solvent (>10 hours) Support Lift-ff by elevated temperature and Mega- / Ultrasonic

9 Etching: ritical Steps Resist oating & Tempering Atomic lattices of different materials do not fit perfectly Resist coating and tempering (180 ) leads to stress induced bubbles and cracks Not suitable for EBL L Dry Etching No reactive dry etching for gold available Physical layer removal by Ion Beam Etching (IBE) Very low selectivity, thick resist mask needed, no etch stop L Resist Removal IBE on metals can lead to redepostion and metal-resist compounds These turn out to be chemically very stable and hardly soluble Pattern often spoiled by garden fences L

10 Fundamentals E-Beam Deflection and Focus electrostatic electromagnetic F = q (E + v x B) Either magnetic or electrostatic fields can be used to focus electrons just as glass lenses are used to focus rays of light. Electron ptics by an electro-magnetical lens system Analogy to Beam-ptics

11 Fundamentals E-Beam Imaging Systems Gaussian beam Variable shaped beam cross over cross over circular aperture electron optics variable angular apertures electron optics Gaussian spot shaped beam resolution: >5nm >50nm writing speed: low fast

12 Fundamentals E-Beam Imaging Systems Gaussian beam Variable shaped beam E-beam writer LIN LV1 masks max. 5 x 5 wafer max. 5 beam 2nm overlay 50nm incr. 2.5 (0.1) nm E-beam writer Vistec SB 350 masks max. 9 x 9 wafer max. 9 resolution 50nm overlay 14nm incr. 1.0nm

13 Fundamentals E-Beam Imaging Systems Gaussian beam Variable shaped beam E-beam writer LIN LV1 masks max. 5 x 5 wafer max. 5 beam 2nm overlay 50nm incr. 2.5 (0.1) nm E-beam writer Vistec SB 350 masks max. 9 x 9 wafer max. 9 resolution 50nm overlay 14nm incr. 1.0nm

14 Fragmentation of PMMA (Polymethylmethacrylate) during exposure n m o Result: PMMA-chains split, enhanced solubility in MIBK (Methylisobutylketone) Fundamentals

15 Fundamentals hange of resist solubility a liquid developer e.g. PMMA: MIBK : Isopropanol 1:1, t= sec, Stop in Isopropanol, N 2 -Drying Positive Resist: Average molecular weight reduced by exposure exposed area is solved much faster in developer and thus removed Negative Resist: Average molecular weight increased by exposure (cross-linking of molecules) unexposed area is removed in developer

16 Electron Beam Lithography - EBL Introduction Motivation General EBL process Fundamentals Basics and Physics of EBL Resist Technology harging EBL Writing Strategies Interaction between E-beam and Substrate Proximity Effect Proximity Function Periodic Structures Resolution Limits in EBL Some Special Exposure Techniques ell Projection Exposure Variable Dose Exposure Mask Preparation for Lift-ff verlay Exposure

17 Resist Technology Sample Preparation: oating & Tempering 1. Spin oating 2. Tempering (Pre-Exposure-Bake) otplate (or oven) Typical tempering figures: T= t = min

18 Resist Technology Resist properties: Sensitivity & ontrast Dose D = Deposited electrical charge per area unit [µ/cm 2 ] I current, t exposure time, F area, j current density ontrast curve measurement: Expose uniform square areas (e.g. 100µm) with increasing dose I t D = = j t F Increase of Dose Value

19 Resist Technology Resist properties: Sensitivity & ontrast ontrast curve measurement Ideal (binary) resist Increase of Dose Value Real (non-binary) resist Increase of Dose Value Sensitivity D 0 = Threshold dose, for which a (large) area of a given resist is completely removed (clearing dose) D 0 D % 0 % Resist hight after development

20 Resist Technology 1.0 Resist properties: Sensitivity & ontrast Standardized Resist ight Ideal resist g = Real resist (Zep520) g =18 ontrast g -1 Ø D ø = log 0 Œ œ Œº Ł D1 łœß Quantitative measure for binary behaviour of a resist (slope in contrast kurve) Dose [µ/cm 2 ] D 1 D 0

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Nano fabrication and optical characterization of nanostructures

Nano fabrication and optical characterization of nanostructures Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication and optical characterization of nanostructures Lecture 12 1 Optical characterization

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Nano-scale plasmonic motors driven by light Ming Liu 1, Thomas Zentgraf 1, Yongmin Liu 1, Guy Bartal 1 & Xiang Zhang 1,2 1 NSF Nano-scale Science and Engineering Center (NSEC),

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition Fabrication-II Electron Beam Lithography Pattern Design Thin Film Deposition By Charulata Barge, Graduate student, Prof. Zumbühl Group, Department of Physics, Universtity of Basel. Date:- 20th Oct. 2006

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Enhanced Transmission by Periodic Hole. Arrays in Metal Films Enhanced Transmission by Periodic Hole Arrays in Metal Films K. Milliman University of Florida July 30, 2008 Abstract Three different square periodic hole arrays were manufactured on a silver film in order

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Photonics applications 5: photoresists

Photonics applications 5: photoresists IMI-NFG s Mini Course on Chalcogenide Glasses Lecture 11 Photonics applications 5: photoresists Himanshu Jain Department of Materials Science & Engineering Lehigh University, Bethlehem, PA 18015 H.Jain@Lehigh.edu

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 5: Electron-Beam Lithography, Part 1 Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Chapter 10. Superconducting Quantum Circuits

Chapter 10. Superconducting Quantum Circuits AS-Chap. 10-1 Chapter 10 Superconducting Quantum Circuits AS-Chap. 10-2 10.1 Fabrication of JJ for quantum circuits AS-Chap. 10-3 Motivation Repetition Current-phase and voltage-phase relation are classical,

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Chapter 6. Superconducting Quantum Circuits

Chapter 6. Superconducting Quantum Circuits AS-Chap. 6.1-1 Chapter 6 Superconducting Quantum Circuits AS-Chap. 6.1-2 6.1 Fabrication of JJ for quantum circuits AS-Chap. 6.1-3 Motivation Repetition Current-phase and voltage-phase relation are classical,

More information

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Supporting Information Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Step and Flash Imprint Lithography Vaibhav S. Khire, 1 Youngwoo Yi, 2 Noel A. Clark, 2 and Christopher

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments Supplementary Information Effects of asymmetric nanostructures on the extinction difference properties of actin biomolecules and filaments 1 E. H. Khoo, 2 Eunice S. P. Leong, 1 W. K. Phua, 2 S. J. Wu,

More information

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS Maria Suggs, Physics Major, Southern Polytechnic State University

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for Supporting Information for Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for High-Performance Photodetector Zhenjun Tan,,ǁ, Yue Wu,ǁ, Hao Hong, Jianbo Yin, Jincan Zhang,, Li Lin, Mingzhan

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Supporting Information An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Hyo-Jin Ahn a, Pradheep Thiyagarajan a, Lin Jia b, Sun-I

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Lecture 2: Quantum Mechanics and Relativity

Lecture 2: Quantum Mechanics and Relativity Lecture 2: Quantum Mechanics and Relativity Atom Atomic number A Number of protons Z Number of neutrons A-Z Number of electrons Z Charge of electron = charge of proton ~1.6 10-19 C Size of the atom ~10-10

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

object objective lens eyepiece lens

object objective lens eyepiece lens Advancing Physics G495 June 2015 SET #1 ANSWERS Field and Particle Pictures Seeing with electrons The compound optical microscope Q1. Before attempting this question it may be helpful to review ray diagram

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 6: Electron-Beam Lithography, Part 2 Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm

More information

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Author Pan, Yue, M. Collins, Aaron, Algahtani, Fahid, W. Leech, Patrick, K. Reeves, Geoffrey, Tanner,

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi: 1.138/nnano.21.29 Gammadion fabrication The gammadions were designed using CAD software with a line width of 8 nm and a periodicity of 8 nm, figure S1. They were arrayed

More information

Exam 4. P202 Spring 2004 Instructor: Prof. Sinova

Exam 4. P202 Spring 2004 Instructor: Prof. Sinova Exam 4 P202 Spring 2004 Instructor: Prof. Sinova Name: Date: 4/22/04 Section: All work must be shown to get credit for the answer marked. You must show or state your reasoning. If the answer marked does

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures Supplementary Information Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye Nanostructures Lei Zhou, Qing-Dong Ou, Jing-De Chen, Su Shen, Jian-Xin Tang,* Yan-Qing Li,* and Shuit-Tong

More information

Two-dimensional Bragg grating lasers defined by electron-beam lithography

Two-dimensional Bragg grating lasers defined by electron-beam lithography Two-dimensional Bragg grating lasers defined by electron-beam lithography Guy A. DeRose a Pasadena, California 91125 and Department of Applied Physics, California Institute of Technology, 1200 E. California

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

.Fritjaf Capra, The Tao of Physics

.Fritjaf Capra, The Tao of Physics Probing inside the atom and investigating its structure, science transcended the limits of our sensory imagination. From this point on, it could no longer rely with absolute certainty on logic and common

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Carbon Nanotube Thin-Films & Nanoparticle Assembly Nanodevices using Nanomaterials : Carbon Nanotube Thin-Films & Nanoparticle Assembly Seung-Beck Lee Division of Electronics and Computer Engineering & Department of Nanotechnology, Hanyang University,

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

LECTURE 11 ELECTROMAGNETIC WAVES & POLARIZATION. Instructor: Kazumi Tolich

LECTURE 11 ELECTROMAGNETIC WAVES & POLARIZATION. Instructor: Kazumi Tolich LECTURE 11 ELECTROMAGNETIC WAVES & POLARIZATION Instructor: Kazumi Tolich Lecture 11 2 25.5 Electromagnetic waves Induced fields Properties of electromagnetic waves Polarization Energy of electromagnetic

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

A thesis presented to. the faculty of. the College of Arts and Sciences of Ohio University. In partial fulfillment. of the requirements for the degree

A thesis presented to. the faculty of. the College of Arts and Sciences of Ohio University. In partial fulfillment. of the requirements for the degree Metal Enhanced Fluorescence in CdSe Quantum Dots by Gold Thin Films A thesis presented to the faculty of the College of Arts and Sciences of Ohio University In partial fulfillment of the requirements for

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

U-Shaped Nano-Apertures for Enhanced Optical Transmission and Resolution

U-Shaped Nano-Apertures for Enhanced Optical Transmission and Resolution U-Shaped Nano-Apertures for Enhanced Optical Transmission and Resolution Mustafa Turkmen 1,2,3, Serap Aksu 3,4, A. Engin Çetin 2,3, Ahmet A. Yanik 2,3, Alp Artar 2,3, Hatice Altug 2,3,4, * 1 Electrical

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. DOI: 10.1038/NPHOTON.2016.254 Measurement of non-monotonic Casimir forces between silicon nanostructures Supplementary information L. Tang 1, M. Wang

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature11231 Materials and Methods: Sample fabrication: Highly oriented VO 2 thin films on Al 2 O 3 (0001) substrates were deposited by reactive sputtering from a vanadium target through reactive

More information

This presentation will describe my research here during SULI s summer 2012 program. My project was to fabricate nano-film capacitors.

This presentation will describe my research here during SULI s summer 2012 program. My project was to fabricate nano-film capacitors. This presentation will describe my research here during SULI s summer 2012 program. My project was to fabricate nano-film capacitors. I was given this project because the Linac Coherent Light Source s

More information

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Outline Sample preparation Magnetron sputtering Ion-beam sputtering Pulsed laser deposition Electron-beam

More information

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Yuanrui Li 1, Ahmed Abbas 1, Yuhan Yao 1, Yifei Wang 1, Wen-Di Li 2, Chongwu Zhou 1 and Wei Wu 1* 1 Department

More information

Magnon-drag thermopile

Magnon-drag thermopile Magnon-drag thermopile I. DEVICE FABRICATION AND CHARACTERIZATION Our devices consist of a large number of pairs of permalloy (NiFe) wires (30 nm wide, 20 nm thick and 5 µm long) connected in a zigzag

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information