Cleaning of Silicon-Containing Carbon Contamination

Size: px
Start display at page:

Download "Cleaning of Silicon-Containing Carbon Contamination"

Transcription

1 RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano, Akira Izumi Kyushu Institute of Technology Toshinori Miura, Mitsuru Kekura MEIDENSHA CORPORATION

2 Contamination and Cleaning EUV masks and mirrors are contaminated by EUV irradiation in an usual vacuum condition. Contamination mainly consists of carbon and hydrogen. Intensity These XPS are measured by Canon. Mg Ka O KLL O1s C KLL 1 k Binding Energy (ev) Contamination deteriorates lithographic performance. It must be cleaned. Mo 3d Si 2s Si 2p C1s unirradiated 2 µm irradiated Reflectivity /Threshold /EDarea Ratio (atomic %) (R~13% ) 4 nm 4 nm Depth (nm) EUVL Symposium 21 2 C hp22nm(x5) Iso // H Film Thickness (nm) Si O Substrate Surface Reflectivity Threshold ED Area

3 Reported Cleaning Studies Technique Rate Advanttages Problems Institution [Reference] Oxygen Plasma Hydrogen Plasma <.1 nm/min Low speed Reflectivity down SNL [SPIE,4688,431(22)] EUV + O 2.24 nm/min Easy to apply Low speed LASTI [MNC23] UV/O 3 ~1 nm/min readily available Difficulty in UV Irradiation LASTI [JVSTB, 23, 247 (25)] Hydrogen Radical (Hot Filament) ~1 nm/min Recovery from Ru oxidation Heat load ASET-Kyutech [JJAP, 46, L633 (27)] Selete-Kyutech [EIPBN28] Shielded Plasma 5 nm/min.19 nm/min Modest speed Sputter damage Damageless Low speed TNO [EUVL Symp. 28] TNO [EUVL Symp. 29] Pure O 3 9 nm/min Extremely high speed Selete-MEIDENSHA [EUVL Symp. 29] EUVL Symposium 21 3

4 Hydrogen radical cleaning Shower head IR pyrometer View Port Vac. Gauge H 2 H 2 H 2 H H H H H H H H Sample Stage (Water-Cooled) Our Previous Studies to Power Supply Hot W wire Thermal Shield Sample to TMP Simple hot W filament efficiently decomposes hydrogen molecule to hydrogen radical. Not only carbon contamination but also oxidation of Ru-capping layer can be recovered. Carbon removal rate ~ 1 nm/min. Pure ozone cleaning (alkene-gas assisted) MEIDEN Pure Ozone Generator generation Ethylene Pure O 3 ~1 % condensation evaporation Exhaust Pure ozone is activated by the alkene assist gas. It needs no heating nor irradiation of any light (UV or EUV, etc.) and the removal rate is extremely high. Carbon removal rate ~ 9 nm/min. EUVL Symposium 21 4

5 Problem Caused by Contained Si Using the pure O 3 cleaning, the reflectivity degradation of SR* contaminated multilayer mask brank is almost recovered. However, the reflectivity recovery of strongly contaminated or multiple contaminated sample is not good enough. We investigated the cleaning residue. The cause of accumulating degradation is cleaning residue SiO 2. *Synchrotron Radiation % Note that Si capping layer is stable to pure O 3 cleaning. Reflectivity Reflectivity 7% 6% 5% 4% 3% 2% 1% 7% 6% 5% 4% 3% 2% 1% % Atomic % After 1st cleaning After 2nd cleaning 1. Initial 2. Contami 3. O Wavelength (nm) 3. O 3 4. Re-Contami 5. 2nd O Wavelength (nm) Chemical states of surface Si (XPS) SiO 2 SiOx EUVL Symposium Si 58 27

6 Where Does Si Come from? Almost all carboneous contamination we investigated (SR, DPP, LPP) contains several parcents of Si species. Other groups also reported Si in contaminations. Intel MET N1 mirror: C : O : Si ~7 % : 2 % : 1 % G1, G2 mirror: C : O : Si ~ 85 % : 1 % : 5 % Albany MET G2: C : O : Si : P : N = 74 : 2 : 2 : 2 : 1 The origin of Si was unclear. So we deposited contamination on sapphier (Al 2 O 3 ) substrates. Clean Contami After O 3 Al 2 O 3 Al 2 O 3 Al 2 O 3 The result clearly shows that Si comes from vacuum. Intensity (arb. units) 32 In addition, this Si species seem hard to remove by oxidative cleaning. Manish Chandhok, IEUVI Optics Contamination / Lifetime TWG (1st Mar. 27) Andrea Wüest et al., IEUVI Optics Contamination / Lifetime TWG (1st Nov. 27) No Si species has been detected by QMS or GC-M. C 1s Clean Contami after O 3 2 Si 2s 16 Al 2s 12 Binding Energy (ev) Al Kα XPS Si 2p Al 2p EUVL Symposium

7 Cleanablity Studies of Si:C Experimental flow: Si doped C (Si:C) sputter-deposited film Characterization Cleaning processing (Pure O 3, H-radical) Characterization (XPS, HFS/RBS) Cleaning process condition: Pure O 3 assist gas = ethylene ~1 Pa room temperature H radical gas pressure ~1-2 Pa filament temperature ~178 o C Characterization: Si concentration dependence of film removal rate. Process time dependence of Si distribution. XPS: Xray Photoelectron Spectroscopy HFS: Hydrogen Forward Schattering spectrometry RBS: Rutherford Back Scattering spectrometry 1. Si concentration 2.area densities of C and Si etc. (natural oxide) 3. Si and O distributions Si substrate Si:C EUVL Symposium 21 7

8 Si Dope (%) Sample Characterization of Si:C C and Si are co-sputter-deposited on Si wafers. Doping rate is controlled by area of Si pieces placed on C target. RBS/HFS C Si H O Ar Fe Si % 4.2 % 6.2 % 11.1 % 15.2 % Initial Area Density (1 15 atom/cm 2 ) Film Thickness (nm) % 2% 4% 6% 8% 1% Atomic Ratio Converted from area density with bulk densities: C (amorphous) = 9.2~ atoms/cm 3 SiO 2 (amorphous) = atoms/cm 3 Si = atoms/cm 3 XPS ~7 % of C is C-C or C-H; π-π* satellite is also observed. Si mainly exists as SiOx (x<2); Si-C is not observed. EUVL Symposium 21 8

9 Normalized * Removal Rate Si-Ratio Dependence for Pure O 3 RBS Result ~3 nm/min C Si ~5 nm/min Sputter-deposited carbon is harder to remove than CVD deposited carbon. ~1 nm/min Initial Si Ratio (%) Data at initial 3 sec *Decresed amount par time par ratio of element Contained Si is also removed at initial stage. C removal rate decreses with Si concentration. EUVL Symposium 21 9

10 Change by Processing Time of Pure O 3 We observed time dependence of depth profile of Si 4.2 % sample. C decreses with time but removal rate gradually slow down. Si also decreses but forms condensed layer at surface region. O increases and final ratio Si:O=1:2. Thickness (nm) C Area Density (cm -2 ) Time (min) Initial 3 s 1 min 2 min 5 min 1 min Carbon Hydrogen Silicon Oxygen Si/O Area Density (cm -2 ) At. Ratio (%) At. Ratio (%) At. Ratio (%) At. Ratio (%) At. Ratio (%) At. Ratio (%) EUVL Symposium 21 1

11 Normalized * Removal Rate Si-Ratio Dependence for H-radical RBS Result C Si ~1.2 nm/min ~.3 nm/min Initial Si Ratio (%) Data at initial 3 min Rate decrease with Si seems smaller than O 3. Si removal rate seems higher than O 3. *Decresed amount par time par ratio of element EUVL Symposium 21 11

12 Change by Processing Time of H-radical We observed time dependence of depth profile of Si 4.2 % sample. 12 min H-radical processing seems correspond to 2~3 min prosessing of pure O 3. Si decreses faster than pure O 3 but SiO 2 condensed layer is also formed. Thickness (nm) C Area Density (cm -2 ) Initial 3 min 12 min Time (min) Carbon Hydrogen Silicon Oxygen Si/O Area Density (cm -2 ) At. Ratio (%) At. Ratio (%) At. Ratio (%) EUVL Symposium 21 12

13 Comparison between H and Pure O 3 Both of techniques removes a little Si but SiO 2 layers are formed at surface region. Absolute removal rate is several tens faster for pure O 3. Rate decrease by Si containing is smaller for H-radical. H Si Relative Removal Rates (arb. units) Si Ratio (%) H C O 3 Si O 3 C EUVL Symposium 21 13

14 Recovery from SiO Formation 2 Once SiO 2 is formed, it seems hard to remove it by mild-dry process. Thus we tried wet etching. Chemical states of surface Si (XPS) Atomic % After 1st cleaning After 2nd cleaning After wet etching SiO SiOx 4 Si SiO 2 (nm) Si is Si in capping layer. Using wet etching process, SiO 2 residue has successfully removed and reflectivity was completely recoverd. Note that SiO 2 removal process removes not only cleaning residue but also natural oxide of Si capping then mutiple application will damage the multilayer. Reflectivity Peak Reflectivity 7% 6% 5% 4% 3% 1. Initial 2% 4. Re-Contami 1% 5. 2nd O 3 6. Wet % % 65% 6% 55% 5% 45% Wavelength (nm) EUVL Symposium Initial Contami O 3 Re-Contami 2nd O 3 Wet

15 Favorable Solution Contamination of EUV1 It seems no Si is contained in a contamination on a mask of EUV1. Intensity (arb. units) Al Kα XPS O KLL Contami Clean O 1s Ta 4p C Si 2s Si 2p Ta 4f Ta 4d For such contamination, both of H radical and pure O 3 can be applied without wet SiO 2 removal. It's important to operate in such vacuum conditions. Intensity (arb. units) 1 Contami Clean Difference Binding Energy (ev) Al Kα XPS 2 Si 2p Binding Energy (ev) EUVL Symposium 21 15

16 H Conclusion w/ wet (needless) (needless) Pure O 3 w/ wet = Suitable C (needless) C w/si = Applicable SiO 2 Si-cap Ru-cap (no info) = Incompatible For Si free contamination on Si-cap, pure O 3 is the best. For Si containing contamination, pure O 3 does not work well. For SiO 2 containing contamination, H-radical is also no good. Residual SiO 2 species can be removed and rescued by wet etching without apparent damege. Si free vacuum condition is essential. EUVL Symposium 21 16

17 Summary Origin of Si contained in carboneous contamination is investigated. Cleanability of pure O 3 and H-radical cleaning, and behaviour of Si while cleaning is examined. Rescue process for degradation by residual SiO 2 is demonstrated. In some case, contamination contains little Si. It's important to operate in such a vacuum conditions. Acknowledgment SR contamination samples are prepared by H. Ikeda at SR center of Ritsumeikan University. This work was supported by New Energy and Indastrial Technology Development Organization. EUVL Symposium 21 17

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment.

Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment. NATIOMEM Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment. R. Grilli *, P. Mack, M.A. Baker * * University of Surrey, UK ThermoFisher Scientific

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Progress on Transmutation Experiments induced by D 2 gas permeation

Progress on Transmutation Experiments induced by D 2 gas permeation 12th International Workshop on Anomalies in Hydrogen Loaded Metals Progress on Transmutation Experiments induced by D 2 gas permeation T.Itoh 1,2, J. Kasagi 1, Y.Iwamura 1, S.Tsuruga 3 1 Condensed Matter

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes e -? 2 nd FEBIP Workshop Thun, Switzerland 2008 Howard Fairbrother Johns Hopkins University Baltimore, MD, USA Outline

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Light-Induced Atom Desorption in Alkali Vapor Cells

Light-Induced Atom Desorption in Alkali Vapor Cells Fundamental Physics Using Atoms, 2010/08/09, Osaka Light-Induced Atom Desorption in Alkali Vapor Cells A. Hatakeyama (Tokyo Univ. Agr. Tech.) K. Hosumi K. Kitagami Alkali vapor cells UHV cell for laser

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

structure and paramagnetic character R. Kakavandi, S-A. Savu, A. Caneschi, T. Chassé, M. B. Casu Electronic Supporting Information

structure and paramagnetic character R. Kakavandi, S-A. Savu, A. Caneschi, T. Chassé, M. B. Casu Electronic Supporting Information At the interface between organic radicals and TiO 2 (110) single crystals: electronic structure and paramagnetic character R. Kakavandi, S-A. Savu, A. Caneschi, T. Chassé, M. B. Casu Electronic Supporting

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/content/351/6271/361/suppl/dc1 Supplementary Materials for Active sites of nitrogen-doped carbon materials for oxygen reduction reaction clarified using model catalysts Donghui Guo,

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

IV. Surface analysis for chemical state, chemical composition

IV. Surface analysis for chemical state, chemical composition IV. Surface analysis for chemical state, chemical composition Probe beam Detect XPS Photon (X-ray) Photoelectron(core level electron) UPS Photon (UV) Photoelectron(valence level electron) AES electron

More information

ToF-SIMS or XPS? Xinqi Chen Keck-II

ToF-SIMS or XPS? Xinqi Chen Keck-II ToF-SIMS or XPS? Xinqi Chen Keck-II 1 Time of Flight Secondary Ion Mass Spectrometry (ToF-SIMS) Not ToF MS (laser, solution) X-ray Photoelectron Spectroscopy (XPS) 2 3 Modes of SIMS 4 Secondary Ion Sputtering

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Fabrication of Pt/Sr 2 (Ta 1-x,Nb x ) 2 O 7 /SiO 2 /Si Field-Effect Transistor for One-Transistor-Type Ferroelectric Random Access Memory

Fabrication of Pt/Sr 2 (Ta 1-x,Nb x ) 2 O 7 /SiO 2 /Si Field-Effect Transistor for One-Transistor-Type Ferroelectric Random Access Memory Proceedings of the 6th WSEAS International Conference on Microelectronics, Nanoelectronics, Optoelectronics, Istanbul, Turkey, May 27-29, 2007 37 Fabrication of Pt/Sr 2 (Ta 1-x,Nb x ) 2 O 7 /O 2 / Field-Effect

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Fig. S1 The Structure of RuCE(Left) and RuCA (Right)

Fig. S1 The Structure of RuCE(Left) and RuCA (Right) Supporting information Fabrication of CZTS and CZTSSe photocathode CZTS photocathode was fabricated by sulfurization of a stacked film containing Cu, Zn and Sn. The stacked film was fabricated on Mo coated

More information

CVD-3 LFSIN SiN x Process

CVD-3 LFSIN SiN x Process CVD-3 LFSIN SiN x Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard LFSIN Process NH 3 Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump to

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis Tim Nunney The world leader in serving science 2 XPS Surface Analysis XPS +... UV Photoelectron Spectroscopy UPS He(I)

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Direct Measurement of Metallic Impurities in 20% Ammonium Hydroxide by 7700s/7900 ICP-MS

Direct Measurement of Metallic Impurities in 20% Ammonium Hydroxide by 7700s/7900 ICP-MS Direct Measurement of Metallic Impurities in 20% Ammonium Hydroxide by 7700s/7900 ICP-MS Application Note Semiconductor Authors Junichi Takahashi Agilent Technologies Tokyo, Japan Abstract Ammonium hydroxide

More information

CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process

CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process Standard MFSIN-HU-1 Process Top C Bottom C Pump to Base Time (s) SiH 4 Flow HF/ LF NH 3 Flow HF/LF N 2 HF/LF HF (watts) LF (watts) HF Time LF Time Pressure

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Mechanism of the Initial Oxidation of Hydrogen and. Halogen Terminated Ge(111) Surfaces in Air

Mechanism of the Initial Oxidation of Hydrogen and. Halogen Terminated Ge(111) Surfaces in Air SLAC-PUB-12068 August 2006 Mechanism of the Initial Oxidation of Hydrogen and Halogen Terminated Ge(111) Surfaces in Air Shiyu Sun a) Physics Department, Stanford University, Stanford, California 94305

More information

FEASIBILITY OF IN SITU TXRF

FEASIBILITY OF IN SITU TXRF FEASIBILITY OF IN SITU TXRF A. ngh 1, P. Goldenzweig 2, K. Baur 1, S. Brennan 1, and P. Pianetta 1 1. Stanford Synchrotron Radiation Laboratory, Stanford, CA 94309, US 2. Binghamton University, New York,

More information

Transmutation Reaction Induced by Deuterium Permeation Through Nanostructured Multi-layer Thin Film

Transmutation Reaction Induced by Deuterium Permeation Through Nanostructured Multi-layer Thin Film 106 Transmutation Reaction Induced by Deuterium Permeation Through Nanostructured Multi-layer Thin Film SHIGENORI TSURUGA *1 KENJI MUTA *1 YUTAKA TANAKA *2 TADASHI SHIMAZU *3 KOJI FUJIMORI *4 TAKEHIKO

More information

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly Supporting Information: Beam Current and Sputtering Rate: Using a 16 kev Cs + primary ion beam and a 1 µm 2 rastered area, a 10 pa beam current produced a sputter rate of 0.9 nm/s for the radially profiled,

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis

Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis Dmitry Zemlyanov Birck Nanotechnology Center, Purdue University Outline Introduction

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

Supporting Information

Supporting Information Supporting Information Oh et al. 10.1073/pnas.0811923106 SI Text Hysteresis of BPE-PTCDI MW-TFTs. Fig. S9 represents bidirectional transfer plots at V DS 100VinN 2 atmosphere for transistors constructed

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012830 TITLE: XPS Study of Cu-Clusters and Atoms in Cu/SiO2 Composite Films DISTRIBUTION: Approved for public release, distribution

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2529-2534 ARGON RF PLASMA TREATMENT OF FILMS FOR SILICON FILMS ADHESION IMPROVEMENT I. A. Rusu *, G. Popa, S. O. Saied

More information

Photocatalysis: semiconductor physics

Photocatalysis: semiconductor physics Photocatalysis: semiconductor physics Carlos J. Tavares Center of Physics, University of Minho, Portugal ctavares@fisica.uminho.pt www.fisica.uminho.pt 1 Guimarães Where do I come from? 3 Guimarães 4 Introduction>>

More information

Ellipsometric spectroscopy studies of compaction and decompaction of Si-SiO 2 systems

Ellipsometric spectroscopy studies of compaction and decompaction of Si-SiO 2 systems Ellipsometric spectroscopy studies of compaction and decompaction of Si-SiO 2 systems Paper Witold Rzodkiewicz and Andrzej Panas Abstract The influence of the strain on the optical properties of Si-SiO

More information

Methods of surface analysis

Methods of surface analysis Methods of surface analysis Nanomaterials characterisation I RNDr. Věra Vodičková, PhD. Surface of solid matter: last monoatomic layer + absorbed monolayer physical properties are effected (crystal lattice

More information

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process Standard MFSIN-HU-2 Process Top C Bottom C Pump to Base Time (s) SiH 4 Flow HF/ LF NH 3 Flow HF/LF N 2 HF/LF HF (watts) LF (watts) HF Time LF Time Pressure

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Hydrogenation of Single Walled Carbon Nanotubes

Hydrogenation of Single Walled Carbon Nanotubes Hydrogenation of Single Walled Carbon Nanotubes Anders Nilsson Stanford Synchrotron Radiation Laboratory (SSRL) and Stockholm University Coworkers and Ackowledgement A. Nikitin 1), H. Ogasawara 1), D.

More information

CVD-3 SIO-HU SiO 2 Process

CVD-3 SIO-HU SiO 2 Process CVD-3 SIO-HU SiO 2 Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard SIO-HU Process N 2 O Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump

More information

Carbon Nanotubes in Interconnect Applications

Carbon Nanotubes in Interconnect Applications Carbon Nanotubes in Interconnect Applications Page 1 What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? Comparison of electrical properties

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Surface analysis techniques

Surface analysis techniques Experimental methods in physics Surface analysis techniques 3. Ion probes Elemental and molecular analysis Jean-Marc Bonard Academic year 10-11 3. Elemental and molecular analysis 3.1.!Secondary ion mass

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Surface and Interface Characterization of Polymer Films

Surface and Interface Characterization of Polymer Films Surface and Interface Characterization of Polymer Films Jeff Shallenberger, Evans Analytical Group 104 Windsor Center Dr., East Windsor NJ Copyright 2013 Evans Analytical Group Outline Introduction to

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

MENA9510 characterization course: Capacitance-voltage (CV) measurements

MENA9510 characterization course: Capacitance-voltage (CV) measurements MENA9510 characterization course: Capacitance-voltage (CV) measurements 30.10.2017 Halvard Haug Outline Overview of interesting sample structures Ohmic and schottky contacts Why C-V for solar cells? The

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Applications of ion beams in materials science

Applications of ion beams in materials science Applications of ion beams in materials science J. Gyulai Research Institute for Technical Physics and Materials Science (MFA), Hung. Acad. Sci., Budapest Types of processing technologies Top-down - waste

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb O.D. DUBON, P.G. EVANS, J.F. CHERVINSKY, F. SPAEPEN, M.J. AZIZ, and J.A. GOLOVCHENKO Division of Engineering and Applied Sciences,

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

T: +44 (0) W:

T: +44 (0) W: Ultraviolet Deposition of Thin Films and Nanostructures Ian W. Boyd ETC Brunel University Kingston Lane Uxbridge Middx UB8 3PH UK T: +44 (0)1895 267419 W: etcbrunel.co.uk E: ian.boyd@brunel.ac.uk Outline

More information