Evaluation of the plasmaless gaseous etching process

Size: px
Start display at page:

Download "Evaluation of the plasmaless gaseous etching process"

Transcription

1 Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at (28) Trans Tech Publications, Switzerland doi:1.428/ Evaluation of the plasmaless gaseous etching process Yoshiya Hagimoto 1, a, Hajime Ugajin 1, Daisuke Miyakoshi 1, Hayato Iwamoto 1, Yusuke Muraki 2 and Takehiko Orii 2 1 Sony Corporation, Asahi-cho, Atsugi-shi, Kanagawa, Japan 2 Tokyo Electron Kyushu LTD, matsushima-cho, miyagi-gun, miyagi, Japan a Yoshiya.Hagimoto@jp.sony.com Keywords: plasmaless gaseous etching,,, divot Introduction The precise control of the etching of various films on device surfaces is becoming increasingly important because slight changes in device structures can cause serious deterioration of their electrical properties. For example, a depression that is called a divot, in the CVD oxide of a shallow trench isolation () results in increased leakage current, especially in advanced devices. The cause of the divot formation is considered to be the successive etching of the CVD oxide in the with hydrofluoric-based chemicals. The purpose of this successive etching is to remove the oxide on the device. The CVD oxide film has a poor structure, so the wet etch rate of the CVD oxide is greater than that of the thermal oxide. In this paper, we report a plasmaless, gaseous etching process technology that achieves a larger reduction of the etch rate of the CVD oxide than that of the conventional wet etching process. We discuss the mechanism of the reaction of this process and apply this technology to the formation process. Experimental For this evaluation of the plasmaless gaseous etching process, we used a Certas TM chamber that was developed by Tokyo Electron Limited [1]. Hereafter, we call this process (chemical oxide removal). A schematic of the is presented in Figure 1. This system comprises a first treatment chamber and a second treatment chamber. The first is a chemical treatment chamber in which the substrate is exposed to a gaseous chemistry, such as /, under controlled conditions that include surface temperature and gas pressure. The second is a heat treatment chamber for sublimating by-products of the reaction in the first treatment chamber. Result and Discussion Basic process performance of We have checked the basic process performance of the. First, we have compared the surface roughness of silicon substrate surface after the process with that after the conventional D process by using the AFM (Atomic Force Microscopy). In this evaluation, bare silicon substrates were processed by the or D. The etched amount for the thermal oxide was the same for both processes. As shown in Figure 2, surface roughness (Ra) is almost the same among three conditions including the process, the conventional D process, and no treatment, which indicates that there was no damage to the silicon substrate surface by the process. Next, we have investigated the etching performance of the for various films. Figure 3 shows the etched amount of various films as a function of the process time. The etched amount of the CVD oxide, such as the plasma-teos (tetra ethyl ortho silicate), and the HDP (high density plasma) is smaller than that of All rights reserved. No part of contents of this paper may be reproduced or transmitted in any form or by any means without the written permission of TTP, (ID: , Pennsylvania State University, University Park, United States of America-3/6/14,2:3:5)

2 8 Ultra Clean Processing of Semiconductor Surfaces VIII the thermal oxide. In the conventional wet process, on the other hand, the etch rate of the CVD oxide, especially in the plasma-teos, is much larger than that of the thermal oxide. We suppose that the etching mechanism of the is quite different from that of the wet etching process. Etching mechanism of the Figure 4 shows the FT-IR (Fourier Transform Infrared Spectroscopy) spectrum of the plasma-teos and thermal oxide after the first-chamber treatment of the. We observed several peaks characteristic to the (NH 4 ) 2 SiF 6 for the plasma-teos and thermal oxide. The ratio of nitrogen, silicon, and fluorine obtained with the XPS (X-ray Photoelectron Spectroscopy) measurements (Figure 5) is almost consistent with that of (NH 4 ) 2 SiF 6, supporting the idea that a (NH 4 ) 2 SiF 6 layer was formed on both surfaces. Next, we discuss the etching mechanism of the. First, and are adsorbed on the surface, and the following reactions occur. SiO SiF 4 + 2H 2 O SiO SiF 4 + 2H 2 O + 4 SiF (NH 4 ) 2 SiF 6 With increasing thickness of the (NH 4 ) 2 SiF 6 layer on surfaces, and should diffuse into the (NH 4 ) 2 SiF 6 layer and reach to the oxide surface, then the next reaction starts. In this way, both a diffusion process and the reaction process take place in the etching reaction of the. As the etching reaction proceeds, the diffusion process becomes the rate-determining element of the total etching reaction. That is why the etched amount in the process becomes almost saturated as the process time increases, which is analogical to the thermal oxide growth model (Deal-Grove model). The etching mechanism mentioned earlier can be applicable irrespective of the kinds of oxide; therefore, the etch rate of an oxide with poor structure like that of the CVD oxide is reduced in the (Figure 6). In the wet etching process, on the other hand, by-products of the etching reaction can easily dissolve in chemicals and be removed from the oxide surface, therefore, the etch rates of films with poor structure, such as the CVD oxide, are much larger than that of the thermal oxide. We suppose the subtle difference in the etch rates of different kinds of films in the can be attributed to the difference in the quality of accumulated (NH 4 ) 2 SiF 6 layers. The CVD oxides imply many impurities, and those impurities may interfere with the diffusion of or. The slight peak shift around 785cm -1 (expanded in the inset of Figure 4) may suggest a difference in the quality of by-products of the thermal oxide and those of plasma-teos. Application of the to the process Figure 7 shows a schematic of the divot formation, a shape abnormality generated above the trench upper edge by the successive etching process for the removal of the oxide on the device surface. We have substituted the for the conventional wet etching process in the following description, including the sacrificed oxide removal and the gate oxide removal for the multi oxide formation, and compared the shape formed when using the D with that when using the. Figure 8 shows the etched amount of the HDP in the region for the D and that for the. In this experiment, the etched amount for the thermal oxide was the same for both the D and the process. The total etched thickness was about 3 nm for the thermal oxide for both processes. As shown in Figure 8, we found that the etched amount of the in the is smaller than that in the D, and this is in good agreement with the result of etching blanket films. Figure 9 is AFM images of the obtained with the two processes. We found that it was possible to suppress the divot formation by

3 Solid State Phenomena Vol substituting the etching process for that of the D. TEM images also suggest that the shape is remarkably improved by using the process (Figure 1). Summary We evaluated the plasmaless gaseous etching process and found that by using the, we could reduce the etch rate of the CVD oxide more than when using the conventional wet etching process. We consider these phenomena can be attributed to the etching mechanism of the that is quite different from that of wet etching. Furthermore, our results revealed that the shape was improved by substituting the for the conventional wet etching process. We believe that this technology will be a promising etching technique for advanced devices. References [1] US patent : US (A1) The first f treatment chamber Surface Micro Etch / adsorption onto wafer surface Wafer temp. 2~4 NH 3 Wafer By-product The second treatment chamber Heat up to 1~2 To Evaporate By-product from Wafer Surface ( w/ N 2 Gas ) N 2 H 2 O SiF 4 Wafer SiF H 2 N 4 2 SiF 4 Heater Surface roughness Ra (nm) Figure 1: Schematic of the. No treatment D Etched amount (nm) LP- TEOS P- TEOS HDP Process time (min) No treatment D Figure 2: Surface roughness of silicon substrate after the or D processes Figure 3: The etched amount of various films treated by the as a function of process time

4 Ultra Clean Processing of Semiconductor Surfaces VIII.12 Absorbance Th-Ox 25 2 Frequency (cm-1) Absorbance Figure 4: FT-IR Spectrum for the reacted layers on the thermal oxide and the plasma-teos measurements. The slight peak shift around 785cm -1 (expanded in the inset) may suggest a difference in the quality of by-products of the thermal oxide and those of plasma-teos Frequency (cm-1) 7 Th-Ox Photoelectron Binding Energy (ev) Ratio (%) Binding Energy (ev) Ratio (%) Estimation N(1s) (NH4)2SiF6 F(1s) (NH4)2SiF6 Si(2p) (NH4)2SiF6 Figure 5: The ratios of nitrogen, fluorine, and silicon on the reacted layer of the thermal oxide and plasma-teos obtained with XPS measurements sacrificed oxide for well implantations Diffusion Adsorption Diffusion (NH 4 ) 2 SiF 6 layer Surface reaction ThOx,TEOS,HDP Figure 6: Schematic of the reaction model Etched amount Si sub 1 st Gate oxide 2 nd Gate oxide Si sub Sacrificed oxide removal Etched amount (nm) Si substrate Si sub Si sub Gate oxide removal for multi oxides formation Figure 7: Schematic diagram of the divot formation D Figure 8: The etched amount of D D Figure 1: TEM images of the shape Figure 9: AFM images of the shape

5 Ultra Clean Processing of Semiconductor Surfaces VIII 1.428/ Evaluation of the Plasmaless Gaseous Etching Process 1.428/

Novel Photo Resist Stripping for Single Wafer Process

Novel Photo Resist Stripping for Single Wafer Process Solid State Phenomena Vols. 103-104 (2005) pp 297-300 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.297

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon Solid State Phenomena Vols. 18-19 (25) pp 621-626 Online available since 25/Dec/15 at www.scientific.net (25) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.18-19.621 Infrared Absorption

More information

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface Solid State Phenomena Online: 24926 ISSN: 6629779, Vol. 29, pp 58 doi:.428/www.scientific.net/ssp.29.5 25 Trans Tech Publications, Switzerland Impact of electrostatic effects on wet etching phenomenon

More information

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e Solid State Phenomena Vol. 134 (28) pp 263266 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.263 Study of static electricity

More information

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium Solid State Phenomena Vols. 145-146 (2009) pp 285-288 Online available since 2009/Jan/06 at www.scientific.net (2009) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.145-146.285

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation Solid State Phenomena Vols. 97-98 (2004) pp 181-184 (2004) Trans Tech Publications, Switzerland Journal doi:10.4028/www.scientific.net/ssp.97-98.181 Citation (to be inserted by the publisher) Copyright

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France olid tate Phenomena Vol. 134 (2008) pp 79-82 Online available since 2007/ov/20 at www.scientific.net (2008) Trans Tech Publications, witzerland doi:10.4028/www.scientific.net/p.134.79 Peracetic acid as

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

The Biological Effect of Iron Oxide and its Hydrate Nanoparticles

The Biological Effect of Iron Oxide and its Hydrate Nanoparticles Solid State Phenomena Vols. 121-123 (2007) pp 735-738 Online available since 2007/Mar/15 at www.scientific.net (2007) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.121-123.735

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information Molecular Antenna Tailored Organic Thin-film Transistor

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

ToF-SIMS or XPS? Xinqi Chen Keck-II

ToF-SIMS or XPS? Xinqi Chen Keck-II ToF-SIMS or XPS? Xinqi Chen Keck-II 1 Time of Flight Secondary Ion Mass Spectrometry (ToF-SIMS) Not ToF MS (laser, solution) X-ray Photoelectron Spectroscopy (XPS) 2 3 Modes of SIMS 4 Secondary Ion Sputtering

More information

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth Institute of Physics Publishing Journal of Physics: Conference Series 10 (2005) 246 250 doi:10.1088/1742-6596/10/1/061 Second Conference on Microelectronics, Microsystems and Nanotechnology Oxidation of

More information

Kinetic Monte Carlo simulation of semiconductor quantum dot growth

Kinetic Monte Carlo simulation of semiconductor quantum dot growth Solid State Phenomena Online: 2007-03-15 ISSN: 1662-9779, Vols. 121-123, pp 1073-1076 doi:10.4028/www.scientific.net/ssp.121-123.1073 2007 Trans Tech Publications, Switzerland Kinetic Monte Carlo simulation

More information

Electronic Supplementary Information. Experimental details graphene synthesis

Electronic Supplementary Information. Experimental details graphene synthesis Electronic Supplementary Information Experimental details graphene synthesis Graphene is commercially obtained from Graphene Supermarket (Reading, MA, USA) 1 and is produced via a substrate-free gas-phase

More information

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides T. Ishihara*, J. Koga*, and S. Takagi** * Advanced LSI Technology Laboratory, Corporate Research &

More information

Processing and Characterization of GaSb/High-k Dielectric Interfaces. Pennsylvania 16802, USA. University Park, Pennsylvania 16802, USA

Processing and Characterization of GaSb/High-k Dielectric Interfaces. Pennsylvania 16802, USA. University Park, Pennsylvania 16802, USA 10.1149/1.3630839 The Electrochemical Society Processing and Characterization of GaSb/High-k Dielectric Interfaces E. Hwang a, C. Eaton b, S. Mujumdar a, H. Madan a, A. Ali a, D. Bhatia b, S. Datta a and

More information

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz Solid State Phenomena Vol. 113 (2006) pp 603-608 Online available since 2006/Jun/15 at www.scientific.net (2006) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.113.603 The Mechatronics

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Analysis of Nitrogen State on MOS Interface of 4H-SiC m-face after Nitric Oxide Post Oxidation Annealing (NO-POA)

Analysis of Nitrogen State on MOS Interface of 4H-SiC m-face after Nitric Oxide Post Oxidation Annealing (NO-POA) e-journal of Surface Science and Nanotechnology 31 October 2017 e-j. Surf. Sci. Nanotech. Vol. 15 (2017) 109-114 Regular Paper Analysis of Nitrogen State on MOS Interface of 4H-SiC m-face after Nitric

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled Supporting information Uniform Graphene Quantum Dots Patterned from Selfassembled Silica Nanodots Jinsup Lee,,, Kyungho Kim,, Woon Ik Park, Bo-Hyun Kim,, Jong Hyun Park, Tae-Heon Kim, Sungyool Bong, Chul-Hong

More information

Room temperature synthesis of GaN driven by kinetic. energy be-yond the limit of thermodynamics

Room temperature synthesis of GaN driven by kinetic. energy be-yond the limit of thermodynamics Supporting Information Room temperature synthesis of GaN driven by kinetic energy be-yond the limit of thermodynamics Takane Imaoka 1,4,5, Takeru Okada 2,4, Seiji Samukawa 2,3,4*, and Kimihisa Yamamoto

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics Surface and Coatings Technology 174 175 (2003) 839 844 Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics M.C. Kim, S.H. Yang *, J.-H. Boo, J.G. Han

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Nanoscale IR spectroscopy of organic contaminants

Nanoscale IR spectroscopy of organic contaminants The nanoscale spectroscopy company The world leader in nanoscale IR spectroscopy Nanoscale IR spectroscopy of organic contaminants Application note nanoir uniquely and unambiguously identifies organic

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Production of Graphite Chloride and Bromide Using Microwave Sparks

Production of Graphite Chloride and Bromide Using Microwave Sparks Supporting Information Production of Graphite Chloride and Bromide Using Microwave Sparks Jian Zheng, Hongtao Liu, Bin Wu, Chong-an Di, Yunlong Guo, Ti Wu, Gui Yu, Yunqi Liu, * and Daoben Zhu Key Laboratory

More information

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for Supporting Information for Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for High-Performance Photodetector Zhenjun Tan,,ǁ, Yue Wu,ǁ, Hao Hong, Jianbo Yin, Jincan Zhang,, Li Lin, Mingzhan

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE ETAL-OXIDE-SEICONDUCTOR (OS) STRUCTURES KRZYSZTOF PISKORSKI (kpisk@ite.waw.pl), HENRYK. PRZEWLOCKI Institute

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION Journal of Surface Analysis,Vol.12 No.2 (2005); S.Ichikawa, et al., Coverage Estimation of Silane. Coverage Estimation of Silane Functionalized Perfluoropolyether Layer by using Time of Flight Secondary

More information

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility Supporting Information Effects of Environmental Water Absorption by Solution-Deposited Al 2 O 3 Gate Dielectrics on Thin Film Transistor Performance and Mobility Trey B. Daunis, James M. H. Tran, and Julia

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Hydrogenation of Single Walled Carbon Nanotubes

Hydrogenation of Single Walled Carbon Nanotubes Hydrogenation of Single Walled Carbon Nanotubes Anders Nilsson Stanford Synchrotron Radiation Laboratory (SSRL) and Stockholm University Coworkers and Ackowledgement A. Nikitin 1), H. Ogasawara 1), D.

More information

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing Advanced Materials Research Online: 2012-06-14 ISSN: 1662-8985, Vols. 538-541, pp 23-28 doi:10.4028/www.scientific.net/amr.538-541.23 2012 Trans Tech Publications, Switzerland Research and Development

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Synthesis of nano-sized anatase TiO 2 with reactive {001} facets using lamellar protonated titanate as precursor

Synthesis of nano-sized anatase TiO 2 with reactive {001} facets using lamellar protonated titanate as precursor Supporting Information Synthesis of nano-sized anatase TiO 2 with reactive {001} facets using lamellar protonated titanate as precursor Liuan Gu, Jingyu Wang *, Hao Cheng, Yunchen Du and Xijiang Han* Department

More information

CVD-3 LFSIN SiN x Process

CVD-3 LFSIN SiN x Process CVD-3 LFSIN SiN x Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard LFSIN Process NH 3 Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump to

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

PHI Model 06-C60 Sputter Ion Gun

PHI Model 06-C60 Sputter Ion Gun PHI Model 6-C6 Sputter Ion Gun Introduction: Physical Electronics introduced the model 6-C6 C 6 sputter ion gun and its unique capabilities for surface cleaning and depth profiling of soft materials (figure

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments 07-Rodrigues-V4 N2-AF 19.08.09 19:41 Page 84 Time-of-Flight Flow Microsensor using Free-Standing Microfilaments Roberto Jacobe Rodrigues 1,2, and Rogério Furlan 3 1 Center of Engineering and Social Sciences,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment vyuji Kataoka vmayumi Shigeno vyoko Tada vkazutoshi Yamazaki vmasataka

More information

Kurita Water Industries Ltd. Takeo Fukui, Koji Nakata

Kurita Water Industries Ltd. Takeo Fukui, Koji Nakata Study of particle attachment on silicon wafers during rinsing Kurita Water Industries Ltd. Takeo Fukui, Koji Nakata Outline 1. Introduction 2. Experimental Method 1 3. Result & Discussion 1 4. Experimental

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS Christian L. Petersen, Rong Lin, Dirch H. Petersen, Peter F. Nielsen CAPRES A/S, Burnaby, BC, Canada CAPRES A/S, Lyngby, Denmark We

More information

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Korean J. Chem. Eng., 28(4), 1133-1138 (2011) DOI: 10.1007/s11814-011-0036-2 INVITED REVIEW PAPER X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Jeong-Gil Choi Department

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION Sashka Petrova Alexandrova 1, Evgenia Petrova Valcheva 2, Rumen Georgiev Kobilarov 1 1 Department of Applied Physics, Technical

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

C-V and G-V Measurements Showing Single Electron Trapping in Nanocrystalline Silicon Dot Embedded in MOS Memory Structure

C-V and G-V Measurements Showing Single Electron Trapping in Nanocrystalline Silicon Dot Embedded in MOS Memory Structure Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society C-V and G-V Measurements Showing Single Electron Trapping in Nanocrystalline Silicon Dot Embedded in MOS Memory Structure Shaoyun Huang,

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Light-Induced Atom Desorption in Alkali Vapor Cells

Light-Induced Atom Desorption in Alkali Vapor Cells Fundamental Physics Using Atoms, 2010/08/09, Osaka Light-Induced Atom Desorption in Alkali Vapor Cells A. Hatakeyama (Tokyo Univ. Agr. Tech.) K. Hosumi K. Kitagami Alkali vapor cells UHV cell for laser

More information

Accelerated Neutral Atom Beam (ANAB)

Accelerated Neutral Atom Beam (ANAB) Accelerated Neutral Atom Beam (ANAB) Development and Commercialization July 2015 1 Technological Progression Sometimes it is necessary to develop a completely new tool or enabling technology to meet future

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/321/5894/1331/dc1 Supporting Online Material for Identification of Active Gold Nanoclusters on Iron Oxide Supports for CO Oxidation Andrew A. Herzing, Christopher J.

More information

Optimizing Graphene Morphology on SiC(0001)

Optimizing Graphene Morphology on SiC(0001) Optimizing Graphene Morphology on SiC(0001) James B. Hannon Rudolf M. Tromp Graphene sheets Graphene sheets can be formed into 0D,1D, 2D, and 3D structures Chemically inert Intrinsically high carrier mobility

More information

XPS & Scanning Auger Principles & Examples

XPS & Scanning Auger Principles & Examples XPS & Scanning Auger Principles & Examples Shared Research Facilities Lunch Talk Contact info: dhu Pujari & Han Zuilhof Lab of rganic Chemistry Wageningen University E-mail: dharam.pujari@wur.nl Han.Zuilhof@wur.nl

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information