Nanoimprint Lithography

Size: px
Start display at page:

Download "Nanoimprint Lithography"

Transcription

1 Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard

2 Outline Background Nanoimprint lithography Thermal based UV-based Applications based on nanofabrication Molecular memory and logic Single electron memory Patterned magnetic media Future work Summary April. 1,

3 ITRS Lithography Requirements 140 DRAM 1/2 Pitch (nm) Year Source: ITRS 2002 update April. 1,

4 Nano is Great but New frontier of science o Fundamental knowledge o Convergence of physics, chemistry and biology. Potential commercial impact DNA Carbon nanotubes Molecular electronics Technological challenge Lithography! April. 1,

5 Microprocessor A microprocessor (intel P4) April. 1,

6 Photolithography W = k λ N.A. Source: Britney Spears guide to Semiconductor physics April. 1,

7 Next generation lithography (NGL) tools: Extreme UV lithography (EUV) Extremely expensive (complex optical system, expensive and fragile mask) X-ray lithography Expensive light source (synchrotron preferred) Mask material E-beam direct write lithography (EBL) Extremely slow (serial process) E-beam projection lithography (EPL) Mask material Distortion due to heat April. 1,

8 Extreme Ultraviolet (EUV) Lithography Expensive Reflective mask and optics require < 2.5Å accuracy. Low efficiency (a few percent) of the light source. Small resist absorption length. Source: Lawrence Livermore National Lab April. 1,

9 Time for Using EBL to Write Gratings on 4 inch Wafer EBL I used in graduate school Resist: 950K PMMA Dose: 600µC/cm 2 Current: 4.5 pa Area: 4inch wafer with 50% duty cycle. ~40cm 2 Time = Dose Area Current = 2 600µ C / cm 40cm 4.5 pa S 158 years! April. 1,

10 Nanoimprint Lithography (NIL) 1. Imprint mold Press Mold resist substrate Remove Mold 2. Pattern Transfer RIE Chou, Krauss, and Renstrom, APL, Vol. 67, 3114 (1995); Science, Vol. 272, 85 (1996) April. 1,

11 Nanoimprint Lithography (NIL) High resolution -not limited by wavelength High throughput -parallel process Low cost 10 nm Chou, Krauss, and Renstrom, APL, Vol. 67, 3114 (1995); Science, Vol. 272, 85 (1996) April. 1,

12 Step & Flash Imprint UV curable process Room temperature Low pressure UV polymer is applied by droping M. Colburn, A. Grot, G. Wilson s et al SPIE 2000 April. 1,

13 UV-curable NIL with Double-layer Spin-on Resist 1. Prepare substrate, spin under layer and liquid resist on 4. Mold and substrate separation 2. Alignment UV 5. Residue layer and under layer etching 3. Press and exposure 6. Metal evaporation and lift-off W. Wu, H. Ge, S.Y. Chou et al., EIPBN 2004 April. 1,

14 NIL is on ITRS (international technology roadmap for semiconductors) * ITRS 2003 update April. 1,

15 Major Players Princeton University ---- Nanonex, NanoOpto University of Taxes at Austin ---- Molecular Imprints University of Michigan Hewlett-Packard Motorola Micro resist Europe: Aachen University. Lund University Obducat, EVG, SUSS Japan: Hitachi April. 1,

16 Nano-circuit Crossbar Architecture Electrodes Molecule Y. Chen, G.Y. Jung et al., Nanotech. 14, 462 (2003) April. 1,

17 64 bits Cross-bar Memory at 60 nm Half-pitch by Thermal Nanoimprint Lithography R (10 9 ohm) A-H 2A-H 3A-H 4A-H 5A-H 6A-H 7A-H 8A-H First working circuit fabricated using NIL First working Molecular memory circuit H P i n v e n t Y. Chen, G.Y. Jung et al., Nanotech. 14, 462 (2003) April. 1,

18 Schematic of Single Electron Memory Poly Si Control Gate Drain Injection Channel Store e 2 /C dot Source Buried Oxide Floating Dot Gate Floating gate E = e 2 Coulomb blockade E >> kt Substrate C dot Higher operation temperature requires larger energy gap, implying smaller dot size. Sub-10 nm dot size is required for room temperature operation. W. Wu, J. Gu, H. X. Ge, et al., Applied Physics Letters 83, 2268 (2003). April. 1,

19 Room Temperature Si Single Electron Memory Fabricated by NIL 1E-9 V =50 mv ds Original 30nm I ds (A) 1E-10 7 V, 1 µs 9 V, 1 µs 1E-11 V =22 mv th t =13.6 nm thermal + ox 33.1 nm PECVD 1E V (V) g Imprinted channel Before oxidation I d vs. V g W. Wu, J. Gu, H. X. Ge, et al., Applied Physics Letters 83, 2268 (2003). April. 1,

20 Single Electron Memory at Room Temperature Threshold vs. Pulse Voltage Pulse duration 1 µs *same result for 1 ms pulse V t (V) Control Gate Voltage (V) W. Wu, J. Gu, H. X. Ge, et al., Applied Physics Letters 83, 2268 (2003). April. 1,

21 Threshold Shift Independent of Charging Time 40 Threshold shift (V) V ctrl = 7 V Pulse width (Sec) W. Wu, J. Gu, H. X. Ge, et al., Applied Physics Letters 83, 2268 (2003). April. 1,

22 Density Limit of Continuous Thin Film Magnetic Media 1. Each grain has to be large enough to be thermally stable (superparamagnetism). 2. Transition noise of each bit Answer: Patterned magnetic media April. 1,

23 Quantized Magnetic Disk Several orders higher density limit than continuous thin film. Nonmagnetic Each bit is a single domain. Week coupling between each bit. Magnetic S N N S S N Nano-lithography needed. N S S N Substrate Chou, Wei, Krauss and Fischer, JAP, 76(10), 6673 (1994) April. 1, 2005

24 18 Gbits/in 2 Large Area Quantized Magnetic Disk April. 1, 2005 W. Wu, B. Cui, X. Sun, W. Zhang, L. Zhuang, L. Kong, and S. Chou, JVSTB, Vol 16, Iss 6, 3825 (1998)

25 MFM Image of 18 Gbits/in 2 Large Area Quantized Magnetic Disk (After Polishing) Every bit is a single domain. April. 1, 2005 W. Wu, B. Cui, X. Sun, W. Zhang, L. Zhuang, L. Kong, and S. Chou, JVSTB, Vol 16, Iss 6, 3825 (1998)

26 30 Gbits/in 2 Co Longitudinal Quantized Magnetic Disk 25 nm SEM MFM April. 1, 2005 W. Wu, B. Cui, X. Sun, W. Zhang, L. Zhuang, L. Kong, and S. Chou, JVSTB, Vol 16, Iss 6, 3825 (1998)

27 Future Work: Challenges of NIL: Yield It is easier to have defects, because it is a contact lithography Alignment accuracy is currently 10x worse than resolution Alignment must be achieved without high cost April. 1,

28 Summary Nanoimprint lithography is: High throughput High resolution Low cost Capable of making real applications More need to be done. April. 1,

29 April. 1,

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Nanotechnology Nanofabrication of Functional Materials Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Contents Part I History and background to nanotechnology Nanoworld Nanoelectronics

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Yuanrui Li 1, Ahmed Abbas 1, Yuhan Yao 1, Yifei Wang 1, Wen-Di Li 2, Chongwu Zhou 1 and Wei Wu 1* 1 Department

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Unconventional Nano-patterning. Peilin Chen

Unconventional Nano-patterning. Peilin Chen Unconventional Nano-patterning Peilin Chen Reference Outlines History of patterning Traditional Nano-patterning Unconventional Nano-patterning Ancient Patterning "This is the Elks' land". A greeting at

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Achieving Tight sigmas in Bit Patterned Media

Achieving Tight sigmas in Bit Patterned Media Achieving Tight sigmas in Bit Patterned Media Dieter Weller Chief Technologist Seagate Technology Diskcon 2008, September 18, Santa Clara Acknowledgement 1 Tbit/in 2 patterned dots Team Seagate Areal Density

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Graphene Transistors Fabricated via Transfer-Printing In Device Active-Areas on Large Wafer

Graphene Transistors Fabricated via Transfer-Printing In Device Active-Areas on Large Wafer Graphene Transistors Fabricated via Transfer-Printing In Device Active-Areas on Large Wafer NANO LETTERS 2007 Vol. 7, No. 12 3840-3844 Xiaogan Liang, Zengli Fu, and Stephen Y. Chou* NanoStructure Laboratory,

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Molecular Electronics For Fun and Profit(?)

Molecular Electronics For Fun and Profit(?) Molecular Electronics For Fun and Profit(?) Prof. Geoffrey Hutchison Department of Chemistry University of Pittsburgh geoffh@pitt.edu July 22, 2009 http://hutchison.chem.pitt.edu Moore s Law: Transistor

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor From nanophysics research labs to cell phones Dr. András Halbritter Department of Physics associate professor Curriculum Vitae Birth: 1976. High-school graduation: 1994. Master degree: 1999. PhD: 2003.

More information

There s plenty of room at the bottom! - R.P. Feynman, Nanostructure: a piece of material with at least one dimension less than 100 nm in extent.

There s plenty of room at the bottom! - R.P. Feynman, Nanostructure: a piece of material with at least one dimension less than 100 nm in extent. Nanostructures and Nanotechnology There s plenty of room at the bottom! - R.P. Feynman, 1959 Materials behave differently when structured at the nm scale than they do in bulk. Technologies now exist that

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation of metal nanogratings

The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation of metal nanogratings IOP PUBLISHING Nanotechnology 20 (2009) 285310 (5pp) NANOTECHNOLOGY doi:10.1088/0957-4484/20/28/285310 The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Magnetic Data Storage with Patterned Media

Magnetic Data Storage with Patterned Media f r e e d o m t o i n n o v a t e f r e e d o m t o i n n o v a t e Magnetic Data Storage with Patterned Media Neil Robertson Hitachi Global Storage Technologies San Jose Research Center Sept 08 1 Technology

More information

Microelectronic Engineering

Microelectronic Engineering Microelectronic Engineering 87 (2010) 899 903 Contents lists available at ScienceDirect Microelectronic Engineering journal homepage: www.elsevier.com/locate/mee A versatile pattern inversion process based

More information

Recap (so far) Low-Dimensional & Boundary Effects

Recap (so far) Low-Dimensional & Boundary Effects Recap (so far) Ohm s & Fourier s Laws Mobility & Thermal Conductivity Heat Capacity Wiedemann-Franz Relationship Size Effects and Breakdown of Classical Laws 1 Low-Dimensional & Boundary Effects Energy

More information

Multicolor Graphene Nanoribbon/Semiconductor Nanowire. Heterojunction Light-Emitting Diodes

Multicolor Graphene Nanoribbon/Semiconductor Nanowire. Heterojunction Light-Emitting Diodes Multicolor Graphene Nanoribbon/Semiconductor Nanowire Heterojunction Light-Emitting Diodes Yu Ye, a Lin Gan, b Lun Dai, *a Hu Meng, a Feng Wei, a Yu Dai, a Zujin Shi, b Bin Yu, a Xuefeng Guo, b and Guogang

More information

Formation of unintentional dots in small Si nanostructures

Formation of unintentional dots in small Si nanostructures Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0942 Available online at http://www.idealibrary.com on Formation of unintentional dots in small Si nanostructures L. P. ROKHINSON,

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Carbon Nanotube Thin-Films & Nanoparticle Assembly Nanodevices using Nanomaterials : Carbon Nanotube Thin-Films & Nanoparticle Assembly Seung-Beck Lee Division of Electronics and Computer Engineering & Department of Nanotechnology, Hanyang University,

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

100 nm period gratings produced by lithographically induced self-construction

100 nm period gratings produced by lithographically induced self-construction INSTITUTE OFPHYSICS PUBLISHING Nanotechnology 14 (2003) 786 790 NANOTECHNOLOGY PII: S0957-4484(03)55891-3 100 nm period gratings produced by lithographically induced self-construction Xinya Lei, Lin Wu,

More information

Ideal Discrete Energy Levels in Synthesized Au. Nanoparticle for Chemically Assembled. Single-Electron Transistors

Ideal Discrete Energy Levels in Synthesized Au. Nanoparticle for Chemically Assembled. Single-Electron Transistors Ideal Discrete Energy Levels in Synthesized Au Nanoparticle for Chemically Assembled Single-Electron Transistors Shinya Kano,, Yasuo Azuma,, Kosuke Maeda,, Daisuke Tanaka,, Masanori Sakamoto,,, Toshiharu

More information

Chao Wang a and Stephen Y. Chou b Department of Electrical Engineering, NanoStructure Laboratory, Princeton University, New Jersey 08544

Chao Wang a and Stephen Y. Chou b Department of Electrical Engineering, NanoStructure Laboratory, Princeton University, New Jersey 08544 Self-aligned fabrication of 10 nm wide asymmetric trenches for Si/SiGe heterojunction tunneling field effect transistors using nanoimprint lithography, shadow evaporation, and etching Chao Wang a and Stephen

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches Presenter: Tulika Mitra Swarup Bhunia, Massood Tabib-Azar, and Daniel Saab Electrical Eng. And

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Wafer-scale fabrication of graphene

Wafer-scale fabrication of graphene Wafer-scale fabrication of graphene Sten Vollebregt, MSc Delft University of Technology, Delft Institute of Mircosystems and Nanotechnology Delft University of Technology Challenge the future Delft University

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, 2006 Handed out Thursday, April 27, 2006 Due no later than 5 PM on May 18, 2006 This is a take-home assignment. You may use

More information

2D Coding and Iterative Detection Schemes

2D Coding and Iterative Detection Schemes 2D Coding and Iterative Detection Schemes J. A. O Sullivan, N. Singla, Y. Wu, and R. S. Indeck Washington University Magnetics and Information Science Center Nanoimprinting and Switching of Patterned Media

More information

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures Supplementary Information Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye Nanostructures Lei Zhou, Qing-Dong Ou, Jing-De Chen, Su Shen, Jian-Xin Tang,* Yan-Qing Li,* and Shuit-Tong

More information

Supplementary information for

Supplementary information for Supplementary information for Transverse electric field dragging of DNA in a nanochannel Makusu Tsutsui, Yuhui He, Masayuki Furuhashi, Rahong Sakon, Masateru Taniguchi & Tomoji Kawai The Supplementary

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology Towards nanoimprint lithography-aware layout design checking 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology Nanoimprint is the mechanical patterning of resist spun

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Seminars in Nanosystems - I

Seminars in Nanosystems - I Seminars in Nanosystems - I Winter Semester 2011/2012 Dr. Emanuela Margapoti Emanuela.Margapoti@wsi.tum.de Dr. Gregor Koblmüller Gregor.Koblmueller@wsi.tum.de Seminar Room at ZNN 1 floor Topics of the

More information

High fidelity blazed grating replication using nanoimprint lithography

High fidelity blazed grating replication using nanoimprint lithography High fidelity blazed grating replication using nanoimprint lithography Chih-Hao Chang, a) J. C. Montoya, M. Akilian, A. Lapsa, R. K. Heilmann, and M. L. Schattenburg Space Nanotechnology Laboratory, Massachusetts

More information

single-electron electron tunneling (SET)

single-electron electron tunneling (SET) single-electron electron tunneling (SET) classical dots (SET islands): level spacing is NOT important; only the charging energy (=classical effect, many electrons on the island) quantum dots: : level spacing

More information

Single Electron Transistor (SET)

Single Electron Transistor (SET) Single Electron Transistor (SET) SET: e - e - dot A single electron transistor is similar to a normal transistor (below), except 1) the channel is replaced by a small dot. C g 2) the dot is separated from

More information

Advanced Topics In Solid State Devices EE290B. Will a New Milli-Volt Switch Replace the Transistor for Digital Applications?

Advanced Topics In Solid State Devices EE290B. Will a New Milli-Volt Switch Replace the Transistor for Digital Applications? Advanced Topics In Solid State Devices EE290B Will a New Milli-Volt Switch Replace the Transistor for Digital Applications? August 28, 2007 Prof. Eli Yablonovitch Electrical Engineering & Computer Sciences

More information

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB MEMORY Moores Law for DRAM 2x increase in capacity every 18 months 2006: 4GB Corollary to Moores Law Cost / chip ~ constant (packaging) Cost / bit = 2X reduction / 18 months Current (2008) ~ 1 micro-cent

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Takao Someya 1, Hiroshi Kawaguchi 2, Takayasu Sakurai 3 1 School of Engineering, University of Tokyo, Tokyo, JAPAN 2 Institute

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

High speed focused ion (and electron) beam nanofabrication

High speed focused ion (and electron) beam nanofabrication High speed focused ion (and electron) beam nanofabrication John Melngailis, Department of Electrical and Computer Engineering and Institute for Research in Electronics and Applied Physics University of

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

MSE 7025 Magnetic Materials (and Spintronics)

MSE 7025 Magnetic Materials (and Spintronics) MSE 7025 Magnetic Materials (and Spintronics) Lecture 1: Introduction Chi-Feng Pai cfpai@ntu.edu.tw Course Outline Magnetism and Magnetic Materials What is magnetism? What is its origin? Magnetic properties

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots S. F. Hu a) National Nano Device Laboratories, Hsinchu 300, Taiwan R. L. Yeh and R. S. Liu Department of Chemistry, National

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Felicia A. McGuire 1, Yuh-Chen Lin 1, Katherine Price 1, G. Bruce Rayner 2, Sourabh

More information

process dependencies in nanoimprint

process dependencies in nanoimprint Modeling and mitigating pattern and process dependencies in nanoimprint lithography 23 June 2011 Hayden Taylor Singapore-MIT Alliance for Research and Technology formerly based at: Microsystems Technology

More information

Supporting Information for: Electrical probing and tuning of molecular. physisorption on graphene

Supporting Information for: Electrical probing and tuning of molecular. physisorption on graphene Supporting Information for: Electrical probing and tuning of molecular physisorption on graphene Girish S. Kulkarni, Karthik Reddy #, Wenzhe Zang, Kyunghoon Lee, Xudong Fan *, and Zhaohui Zhong * Department

More information

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure 2017 Asia-Pacific Engineering and Technology Conference (APETC 2017) ISBN: 978-1-60595-443-1 Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure Xiang Wang and Chao Song ABSTRACT The a-sin

More information

FABRICATION AND CHARACTERIZATION OF SINGLE ELECTRON DEVICE AND STUDY OF ENERGY FILTERING IN SINGLE ELECTRON TRANSPORT LIANG-CHIEH MA

FABRICATION AND CHARACTERIZATION OF SINGLE ELECTRON DEVICE AND STUDY OF ENERGY FILTERING IN SINGLE ELECTRON TRANSPORT LIANG-CHIEH MA FABRICATION AND CHARACTERIZATION OF SINGLE ELECTRON DEVICE AND STUDY OF ENERGY FILTERING IN SINGLE ELECTRON TRANSPORT by LIANG-CHIEH MA Presented to the Faculty of the Graduate School of The University

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Nanolithography Techniques

Nanolithography Techniques Nanolithography Techniques MSE 505 / MSNT 505 P. Coane Outline What Is Nanotechnology? The Motivation For Going Small Nanofabrication Technologies Basic Techniques Nano Lithography NANOTECHNOLOGY Nanotechnology

More information