Techniken der Oberflächenphysik (Techniques of Surface Physics)

Size: px
Start display at page:

Download "Techniken der Oberflächenphysik (Techniques of Surface Physics)"

Transcription

1 Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: Office: Heliosbau 1102, Prof. Schmidt-Straße 26 (tel: 3748) Vorlesung: Mittwochs (G), 9 10:30, C 108 Übung: Mittwochs (U), 9 10:30, C 108

2 What is nanofabrication? How many methods can be used for nanofabrication?

3 "Nanofabrication" refers to the fabrication of structures with feature sizes measured in the nanometers, especially below 20 nanometers on a side. How to fabricate surfaces especially in nano-sized range? 1. Template-based processes, PVD, ALD, CVD 2. Nanofabrication: Lithography, soft lithography, nano-impringting

4 What are the two principles of nanofabrication? Please give one or two nanofabrication examples by using the two principles.

5 Nanofabrication-two principles Top down: Using techniques to remove, add or distribute atoms or molecules in a bulk material to create a final structure. The top-down approach often uses the traditional workshop or microfabrication methods where externally controlled tools are used to cut, mill, and shape materials into the desired shape and order. Micropatterning techniques, such as photolithography and inkjet printing belong to this category. Vapor treatment can be regarded as a new top-down secondary approaches to engineer nanostructures.

6 Bottom up: This approach seeks to have smaller components (usually atoms or moleculars) direted assembly to create larger scale structures. e.g., chemical self-assembly Bottom-up approaches use the chemical properties of single molecules to cause single-molecule components to (a) self-assemble into some useful conformation, or (b) rely on positional assembly. These approaches utilize the concepts of molecular self-assembly and/or molecular recognition. Such bottom-up approaches should be able to produce devices in parallel and much cheaper than topdown methods, but could potentially be overwhelmed as the size and complexity of the desired assembly increases.

7

8

9 Chem. Soc. Rev. 2013, 42, 6006.

10

11 How many types does lithography have?

12

13 What is photolithography? Please describe its basic steps.

14 It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate.

15 compound Substrate (Si) Cleaning & Pre-baking Priming Substrate (Si) Spin-on PR coating Substrate (Si) Hydrophobicity adhesion Even, smooth Soft Baking Exposure Development Positive Photoresist Removing solvent Mask plate UV light Substrate (Si) Substrate (Si) Negative Photoresist Substrate (Si)

16 The resist becomes crosslinked/polymerized and difficult to dissolve in the developer. The resist becomes more The resist becomes more soluble in the developer. soluble in the developer.

17 What is X-ray lithography?

18

19 Please introduce the two types of charged beam based lithography.

20 EBL is the practice of scanning a focused beam of electrons to draw custom shapes on a surface covered with an electronsensitive film called a resist ( exposing ). The e-beam changes the solubility of the resist, enabling selective removal of either the exposed or non-exposed regions of the resist by immersing it in a solvent ( developing ).

21

22 FIB deposition FIB etching

23

24 What are soft lithography and nanoimprint lithography?

25 It is called "soft" because it uses elastomeric materials, most notably PDMS.

26 It is a simple nanolithography process with low cost, high throughput and high resolution. NIL is based on the principle of mechanically modifying a thin polymer film using a template containing the micro/nanopattern, in a thermo-mechanical or UV curing process. In other words, NIL uses the direct contact between the mold (template) and the thermoplastic or UV-curable resist to imprint (or replicate) the pattern, unlike optical lithography, does not require expensive and complex optics and light sources for creating images. As a result, distinct features for NIL involve two points: (1) the contact nature of the process; (2) direct mechanical deformation of the resist. thermal nanoimprint lithography (T-NIL), UV-based Nanoimprint Lithography (UV-NIL)

27

28 That s all. Thank you!

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei Dr. Ynag Xu and Mr. Grote Fabian Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de yang.xu@tu-ilmenau.de

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Techniken der Oberflächenphysik (Technique of Surface Physics)

Techniken der Oberflächenphysik (Technique of Surface Physics) Techniken der Oberflächenphysik (Technique of Surface Physics) Yong Lei & Fabian Grote Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; fabian.grote@tu-ilmenau.de

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Emerging nanopatterning

Emerging nanopatterning Nanotechnology for engineers Winter semester 2006-2007 Emerging nanopatterning Soft-lithography: Microcontact printing Nanoimprint Lithography Stencil lithography Dip-Pen lithography / Nanoscale dispensing

More information

Nanoscale Issues in Materials & Manufacturing

Nanoscale Issues in Materials & Manufacturing Nanoscale Issues in Materials & Manufacturing ENGR 213 Principles of Materials Engineering Module 2: Introduction to Nanoscale Issues Top-down and Bottom-up Approaches for Fabrication Winfried Teizer,

More information

Nanostructured Materials - Fabrication Processes 1

Nanostructured Materials - Fabrication Processes 1 FABRICATION PROCESSES FOR NANOMATERIALS - NANOSTRUCTURES Lecture 6 1 Processes 1 SUBTRACTIVE AND MODIFYING METHODS Subtractive methods: Etching: wet chemical etching, reactive ion etching; ion beam sputter

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu, Dr. Huaping Zhao Fachgebiet Angewante Nanophysik, Institut für Physik Contact: yong.lei@tu-ilmenau.de yang.xu@tu-ilmenau.de

More information

Nano Materials. Nanomaterials

Nano Materials. Nanomaterials Nano Materials 1 Contents Introduction Basics Synthesis of Nano Materials Fabrication of Nano Structure Nano Characterization Properties and Applications 2 Fabrication of Nano Structure Lithographic techniques

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Nanostrukturphysik Übung 2 (Class 3&4)

Nanostrukturphysik Übung 2 (Class 3&4) Nanostrukturphysik Übung 2 (Class 3&4) Prof. Yong Lei & Dr. Yang Xu 2017.05.03 Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de (3748), yang.xu@tuilmenau.de (4902)

More information

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Nanotechnology Nanofabrication of Functional Materials Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Contents Part I History and background to nanotechnology Nanoworld Nanoelectronics

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Nanomaterials and their Optical Applications

Nanomaterials and their Optical Applications Nanomaterials and their Optical Applications Winter Semester 2013 Lecture 02 rachel.grange@uni-jena.de http://www.iap.uni-jena.de/multiphoton Lecture 2: outline 2 Introduction to Nanophotonics Theoretical

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy Jing-jiang Yu Nanotechnology Measurements Division Agilent Technologies, Inc. Atomic Force Microscopy High-Resolution

More information

ABSTRACT. Dr. Donald L. DeVoe, Associate Professor, Department of Mechanical Engineering

ABSTRACT. Dr. Donald L. DeVoe, Associate Professor, Department of Mechanical Engineering ABSTRACT Title of Document: NANOCHANNEL FABRICATION USING THERMOMECHANICAL DEFORMATION OF THERMOPLASTICS Kapil Sahasrabudhe, M.S. Mechanical Engineering, September 2006 Directed By: Dr. Donald L. DeVoe,

More information

Unconventional Nano-patterning. Peilin Chen

Unconventional Nano-patterning. Peilin Chen Unconventional Nano-patterning Peilin Chen Reference Outlines History of patterning Traditional Nano-patterning Unconventional Nano-patterning Ancient Patterning "This is the Elks' land". A greeting at

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Nano fabrication and optical characterization of nanostructures

Nano fabrication and optical characterization of nanostructures Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication and optical characterization of nanostructures Lecture 12 1 Optical characterization

More information

Nanoscale Fabrication and Characterization

Nanoscale Fabrication and Characterization Chapter 3 Nanoscale Fabrication and Characterization In this chapter, we discuss typical techniques for the fabrication and characterization at the nanometer scale. These techniques provide the experimental

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Nanolithography Techniques

Nanolithography Techniques Nanolithography Techniques MSE 505 / MSNT 505 P. Coane Outline What Is Nanotechnology? The Motivation For Going Small Nanofabrication Technologies Basic Techniques Nano Lithography NANOTECHNOLOGY Nanotechnology

More information

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Yuanrui Li 1, Ahmed Abbas 1, Yuhan Yao 1, Yifei Wang 1, Wen-Di Li 2, Chongwu Zhou 1 and Wei Wu 1* 1 Department

More information

Soft Lithography and Materials Properties in MEMS

Soft Lithography and Materials Properties in MEMS CL: 6.777J/2.372J Spring 2007, Lecture 5-1 Soft Lithography and Materials Properties in MEMS Carol Livermore Massachusetts Institute of Technology * With thanks to Steve Senturia and Joel Voldman, from

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Laserphysik. Prof. Yong Lei & Dr. Yang Xu. Fachgebiet Angewandte Nanophysik, Institut für Physik

Laserphysik. Prof. Yong Lei & Dr. Yang Xu. Fachgebiet Angewandte Nanophysik, Institut für Physik Laserphysik Prof. Yong Lei & Dr. Yang Xu Fachgebiet Angewandte Nanophysik, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Heisenbergbau V 202, Unterpörlitzer Straße

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Nano-Lithography. Edited by Stefan Landis

Nano-Lithography. Edited by Stefan Landis Nano-Lithography Edited by Stefan Landis IST^ m WILEY Table of Contents Foreword Jörge DE SOUSA NORONHA Introduction Michel BRILLOUET xi xvii Chapter 1. X-ray Lithography: Fundamentals and Applications

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures Supplementary Information Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye Nanostructures Lei Zhou, Qing-Dong Ou, Jing-De Chen, Su Shen, Jian-Xin Tang,* Yan-Qing Li,* and Shuit-Tong

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts

Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts Sajal Biring* Department of Electronics Engineering and Organic Electronics Research

More information

CONTROLLED KINETIC MONTE CARLO SIMULATION OF NANOMANUFACTURING PROCESSES

CONTROLLED KINETIC MONTE CARLO SIMULATION OF NANOMANUFACTURING PROCESSES Proceedings of ASME 2011 International Design Engineering Technical Conferences & Computers and Information in Engineering Conference IDETC/CIE 2011 August 29-31, 2011, Washington, DC, USA DETC2011-48570

More information

Microelectronic Engineering

Microelectronic Engineering Microelectronic Engineering 87 (2010) 899 903 Contents lists available at ScienceDirect Microelectronic Engineering journal homepage: www.elsevier.com/locate/mee A versatile pattern inversion process based

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Printing Silver Nanogrids on Glass: A Hands-on Investigation of Transparent Conductive Electrodes

Printing Silver Nanogrids on Glass: A Hands-on Investigation of Transparent Conductive Electrodes Printing Silver Nanogrids on Glass: A Hands-on Investigation of Transparent Conductive Electrodes Silver Nanogrid/Nanowire Importance The next generation of optoelectronic devices requires transparent

More information

Title Single Row Nano-Tribological Printing: A novel additive manufacturing method for nanostructures

Title Single Row Nano-Tribological Printing: A novel additive manufacturing method for nanostructures Nano-Tribological Printing: A novel additive manufacturing method for nanostructures H.S. Khare, N.N. Gosvami, I. Lahouij, R.W. Carpick hkhare@seas.upenn.edu carpick@seas.upenn.edu carpick.seas.upenn.edu

More information

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) Robert Fader Fraunhofer Institute for Integrated Systems and Device Technology (IISB) Germany Ulrike Schömbs SUSS

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/3/9/e1701222/dc1 Supplementary Materials for Moisture-triggered physically transient electronics Yang Gao, Ying Zhang, Xu Wang, Kyoseung Sim, Jingshen Liu, Ji Chen,

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Mechanical Engineering Journal

Mechanical Engineering Journal 0123456789 Bulletin of the JSME Mechanical Engineering Journal Vol.3, No.2, 2016 Fabrication and evaluation of micro-structured reaction field with vertically aligned carbon nanotubes for micro bio-analysis

More information

Nano Materials and Devices

Nano Materials and Devices Nano Materials and Devices Professor Michael Austin Platform Technologies Research Institute Nano Materials and Devices Program Aim: to develop an integrated capability in nanotechnology Design and modelling

More information

Titel van de presentatie :41

Titel van de presentatie :41 , TNO, Senior Scientist Sensor Materials Photonic Crystals as Gas and Liquid Sensors 1 Competence matrix Sector R&D Technology Application Market Photonics for green energy & environment Lighting for well

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,500 108,000 1.7 M Open access books available International authors and editors Downloads Our

More information

Figure 1: Some examples of objects at different size scales ( 2001, CMP Científica, [2])

Figure 1: Some examples of objects at different size scales ( 2001, CMP Científica, [2]) Top-Down vs. Bottom-Up Nanomanufacturing Prof. Derek J. Hansford, Biomedical Engineering Program and Department of Materials Science & Engineering Learning Objectives: This module should expose the student

More information

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Orthogonal Processing: A New Strategy for Patterning Organic Electronics 1 rthogonal Processing: A New Strategy for Patterning rganic Electronics ERC Teleconference 3/September/2009 Jin-Kyun Lee and Christopher K. ber* Materials Science & Engineering Cornell University 2 rganic

More information

Preparation of Nanostructures(Příprava Nanostruktur)

Preparation of Nanostructures(Příprava Nanostruktur) Preparation of Nanostructures (Příprava Nanostruktur) jaroslav.hamrle@vsb.cz September 23, 2013 Outline 1 Introduction 2 Bulk crystal growth 3 Thin film preparation 4 Lateral structures 5 Surface plasma

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Deposition and patterning techniques for Organic Semiconductors

Deposition and patterning techniques for Organic Semiconductors Deposition and patterning techniques for Organic Semiconductors Maddalena Binda Organic Electronics: principles, devices and applications Milano, November 26-29th, 2013 Overview Organic materials DEPOSITION

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays

56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays 56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays Jong-Wook Jung, Se-Jin Jang, Min Young Jin, You-Jin Lee, Hak-Rin Kim, and Jae-Hoon Kim Department of Electronics

More information

Supplementary information for

Supplementary information for Supplementary information for Transverse electric field dragging of DNA in a nanochannel Makusu Tsutsui, Yuhui He, Masayuki Furuhashi, Rahong Sakon, Masateru Taniguchi & Tomoji Kawai The Supplementary

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Course website: http://www.physics.purdue.edu/academic_programs/courses/phys570p/ Today

More information

Fabrication Technology for Miniaturization

Fabrication Technology for Miniaturization Appendix A Fabrication Technology for Miniaturization INTRODUCTION Many of the technologies that have enabled advances in miniaturization were first developed for microelectronics and allow both lateral

More information

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Supporting Information Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Step and Flash Imprint Lithography Vaibhav S. Khire, 1 Youngwoo Yi, 2 Noel A. Clark, 2 and Christopher

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/1/10/e1500751/dc1 Supplementary Materials for Uniform metal nanostructures with long-range order via three-step hierarchical self-assembly The PDF file includes:

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information