Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Size: px
Start display at page:

Download "Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher"

Transcription

1 Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, /28/2008

2 Introduction Content Experimental Set-up Inductively-coupled dielectric etcher Plasma diagnostics Langmuir probe measurements Ion Mass Spectrometry (IMS) Optical Emission Spectrometry (OES) Effects of Different Parameters Source Power Pressure Surface temperatures Carrier Gas flow and type Location, Wafer type Plasma sources Summary

3 Introduction (1) An independent plasma source in conjunction with capacitively coupled rf bias has allowed Independent control of density and ion energy In-situ dry clean of process chamber These have been the major focus until recently for plasma source development Control of chemical species continues to be a major challenge This is the key to high selectivity of dielectrics to mask and underlayer with high etch rate and wide process window This requires control of both gas phase and surface reactions

4 Introduction (2) A selective dielectric etch involves careful balance of polymer deposition, chemical etching and physical sputtering. The etch relies on proper combination of fluorocarbon radicals and ions (CxFy). Progress has been made in characterization of CxFy species using LIF, laser absorption and etc. Etch rate and selectivity need to be correlated to the fluxes of these radicals and ions to the wafer. This study is a survey of CxFy ions by Hiden IMS and CxFy radicals by OES in an ICP dielectric etcher in conjunction with plasma measurements by Langmuir probe.

5 Dissociation Paths in C4F8 Plasmas H. Kazum et al., Plasma Sources Sci. Technol. 5 (1996),

6 CxFy Reaction Paths on Si Surface Si + CxFy Si Surface Reaction C2 + SiF + other by-products Adsorption of Reactants CxFy CxFy Desorption of Reactants Desorption of By-Product C2 + SiF Reaction Path: 1. Adsorption of reactants 2. Desorption or boiling off of reactants 3. Surface reaction to form C2, SiF and other by products 4. Desorption of by-products Reaction Rate is determined by Rate-Limiting (slowest Step).

7 Inductively Coupled Dielectric Etcher Temperature Control of all Plasma Surfaces Plasma Uniformity Control with Dual-Antenna Source Si Roof acting as RF Window & Electrode Movable Ion Mass Spec. or Langmuir probe S/N N/S Si Hot Ring Gas Feed Plasma Region SiC Collar N/S Temp Controlled S/N OES Window Electrostatic Ceramic Chuck Bias DC Hot Si Ring

8 Plasma Measurements Diagnostics Langmuir probe : Hiden Ion Mass Spec. (IMS): Optical Emission Spec. (OES): Te and ne Relative spectra of ion species Relative spectra of excited neutrals Experimental Parameters Total source power = W Pressure = 7-80mT C4F8 flow = sccm Carrier gas = He, Ar, Xe Si roof temperature = C Baseline conditions are shown by the blue numbers above.

9 Effect of Source Power: OES At low source power (<1000 W at 30mT), the spectra are dominated by Ar emission lines with very low C2 or SiF. This is an characteristics of E-mode plasma (capacitive coupling from the bias power). Change pf E- to H-mode (ICP) happens between 1000 to 1500W. The threshold power for mode change is strongly dependent on pressure, gas chemistry and chamber geometry. Intensity (arb.) RIE 500Wout 1000Wout 1500Wout C3 SiF C2 C2 C RIE 500Wout 1000Wout 1500Wout F Ar lines Wavelength (nm) Wavelength (nm)

10 Effect of Pressure: Langmuir Probe Plasma density (Ne) decreases with increasing pressure. Electron temperature (Te) decreases with increasing pressure. 5 Te vs. Pressure 15C4F8/100Ar/1400Wout 2.E+11 Electron Density vs. Pressure 15C4F8/100Ar/1400Wout ElectElectron Temperature (ev) Te (ev) Electron Density (cm-3) 2.E+11 1.E+11 5.E+10 Ne (cm-3) 0 0.E Pressure (mt) Pressure (mt) (Data were collected using Smart Probe by Scientific Systems.) / Alex Paterson

11 CxFy Ion Count 1.E+07 1.E+06 1.E+05 1.E+04 C+ and F+ decrease while CF+ increases with pressure. CF2+ and CF3+ slightly increase but much less than CF+. Higher pressure can reduce dissociation due to lower Ne and Te (Langmuir probe data) but not effective enough in the highly dissociation plasma. Effect of Pressure: Ion Mass Spec. C F CF CF2 CF3 C2F Pressure (mt) CF2 13% CF3C2F4 4% 1% 5mT CF 82% CF2CF3C2F4 3% 3% 0% 50mT CF 94%

12 Effect of Radial Distance: Ion Mass Spec. O+/O2+ ratio decreases with radial distance, possibly due to charge exchange between O+ and O2 and wall recombination of O and O+. O+/O2+ ratio decreases with pressure indicating reduced O2 dissociation. O+ / O O+/O2+(3.5cm from wall) O+/O2+ (at wall) Pressure (mt)

13 Effect of Si Surface Temperature: OES Below 200C, C2*/Ar* increases with Si temperature meaning the rate-limiting-step is desorption of polymer from Si surface. Above 200C, the trend reverses due to the limitation of CxFy absorption. Si surface acts like a good polymer sink or reflector but not a F scavenger. Normalized Intensity Si Roof Si Ring 1.00 Si Ring@300 o C Si Roof@120 o C Silicon Temperature (C) SiF/Ar*10 C2/Ar*10 F/Ar*10

14 Effect of C4F8 Flow: Ion Mass Spec. C4F8 flow of 15 and 30sccm results in similar ion mass spectra meaning not an effective knob for ion species control in an already highly dissociated plasma. CF2 12% Baseline 15C4F8/100Ar/in probe 15C4F8/100Ar C4F8/Ar CF3 C2F4 5% 1% Higher Flow 30C4F8/100Ar/in probe 304F8/100Ar C4F8/Xe CF2 8% CF3 C2F4 4% 1% CF 82% CF 87%

15 Effect of Carrier Gas: He, Ar and Xe The different ionization threshold and mass of He, Ar and Xe affect fundamentally plasma generation and loss resulting in different plasma density and electron energy distribution function (EEDF). Langmuir probe measurement shows that the EEDF is non-maxwellian in C4F8/Xe mixture with depleting high energy tails. The average electron energy is lowest in Xe and highest in He while the electron density has opposite trend. The low ionization energy threshold of Xe (~11eV) allows the high ionization rates with less hot electrons and results in a high density but low electron energy plasma.

16 EEDF in C4F8/Ar and C4F8/Xe Plasmas C4F8/Xe C4F8/Ar

17 Effect of Carrier Gas: Electron Density and Average Energy 8 C4F8 Mixed With Noble Gases He Ne Ar Xe ne (10^11cm3) Av Elect Energy (ev) Plasma Potential / 10 (Volts) Mass of Noble Gas

18 Effect of Carrier Gas: CxFy Ion Mass Spec. Percentage of F is 50 times less in C4F8/Xe than in C4F8/He. Percentage of heavy CxFy ions is far more in Xe than in Ar & He. These are due to low dissociation in C4F8/Xe which has the lowest average electron energy. Nomalized by Total Ion Count C4F8/He C4F8/Ar C4F8/Xe C F CF CF2 CF3 C2F4

19 Effect of Carrier Gas: OES Normalized By F* C2*/F* ratio is 8 times higher in C4F8/Xe than in C4F8/Ar. This demonstrates, again, less dissociation in C4F8/Xe Xe Ar Si(288.16) SiF(440.05) CO(313.44) C2(516.52) C2(563.55) H(656.29) F(703.75) O(777.54)

20 Effect of Carrier Gas: Process C4F8/Ar or C4F8/Xe process was applied to the pre-patterned via holes. Sharp facet of via tops with C4F8/Xe implies that physical sputtering is dominant over chemical etching of the holes. Rounded facet with C4F8/Ar suggests that isotropic etching maybe by excessive F atoms shown clearly by high C2*/F* ratio in OES spectra. 15C4F8/150Xe 15C4F8/150Ar

21 Summary Chemical species were experimentally investigated in an C4F8- based ICP as a function of many parameters. Clear E- to H-mode transition from low to high ICP power was observed accompanied by drastic change in chemical species spectra. In typical ICP operation, CxFy molecules are highly dissociated and the plasma is characterized by C2* rich OES and CF+ dominated IMS spectra. High pressure can slightly reduce dissociation but not effective enough to change the nature of high dissociation. Hot Si surface inside chamber plays more a role of polymer sink or reflector but a less role of F atom scavenger. The most effective knob among all is the type of carrier gas. Using Xe as a carrier gas can dramatically reduce the dissociation of fluorine-carbon molecules in an ICP oxide etcher. Dissociation control using different plasma generation mechanisms are currently in progress.

22 Future Perspective Gas phase and surface reaction mechanisms of CxFy plasmas need to be thoroughly studied. Semiconductor industry faces serious challenges due to feature shrinking and low-k/cu Dual-Damascene in interconnect circuitry. This plasma community can really help the industry with new innovations in terms of chemical species control Different plasma generation mechanisms Introduction of new chemistry Introduction of new surfaces Many more

23 Ion Mass Spectra vs. Wafer Type CF+ ions are the dominant reactive ion species. Minor loading effect of CxFy+ observed from Si to patterned SiO2 wafer. More release of by-product CO+ seen with patterned SiO2 wafer. Normalized by Total Ion Count C4F8/Ar Si Wafer C4F8/Ar Patterned Oxide Wafer C F CF CF2 CF3 C2F4 CO SiF Reactive Ions Byproduct ions

24 Challenges due to Device Evolution Feature Shrink beyond 0.1μm VLSI device features shrinks rapidly, leading to thinner mask thickness higher aspect ratio (HAR) of dielectric patterns. This requires highly selective etching to dielectric materials to mask. Evolution of Materials: SiO2 & Al low-k & Cu The VLSI industry has clearly taken the direction of Low-k & Cu Dual Damascene (DD) for lower response (RC) time in interconnect circuitry. This has introduced more challenges for dielectric etch due to more complex structures and constantly evolving low-k materials.

25 Intensity (arb.) Optical Emission in ICP and CCP In ICP, CxFy plasmas are characterized by C2 rich OES which is a symbol of high dissociation. Typically, C2*/Ar* ratio is many times higher in ICP than CCP plasmas C2*/Ar* ratio is used as a empirical method to guide process development for selective etch. OES from an ICP Chamber C2 (516) OES Emission from an MERIE Chamber Ar (750) Ar (750) F (703) Intensity (arb.) C2 (516) F (703) Wavelength (nm) Wavelength (nm)

26 Probe Data Interpretation The total probe current was used. No correction was made for ion current. The Electron Energy Distribution Function (EEDF) was calculated from the Druyvestyn formula. f 0 ( ε) = 8m. e q e d Area d V 2 I The electron density and the average electron energy were calculated from integrating the EEDF. The effective electron temperature for a non - Maxwellian EEDF is T eff = 2. 3 E av

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp Chapter 8 Summary and outlook In the present work reactive plasmas have been investigated by comparing experimentally obtained densities with the results from a simple chemical model. The studies have

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch Jpn. J. Appl. Phys. Vol. 42 (23) pp. 5759 5764 Part 1, No. 9A, September 23 #23 The Japan Society of Applied Physics Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Plasma based modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Plasma based modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans Plasma based modification of thin films and nanoparticles Johannes Berndt, GREMI,Orléans What is a plasma? A plasma is a ionized quasineutral gas! + electron electrons Neon bottle Ne atom Ne ion: Ne +

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* Junqing Lu and Mark J. Kushner Department of Electrical and Computer Engineering at Urbana-Champaign mjk@uiuc.edu, jqlu@uiuc.edu

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) *Kye Hyun Baek, Gopyo Lee, Yong Woo Lee, Gyung-Jin Min, Changjin Kang, Han-Ku

More information

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures

More information

Plasma parameter evolution in a periodically pulsed ICP

Plasma parameter evolution in a periodically pulsed ICP Plasma parameter evolution in a periodically pulsed ICP V. Godyak and B. Alexandrovich OSRAM SYLVANIA, 71 Cherry Hill Drive, Beverly, MA 01915, USA The electron energy probability function (EEPF) has been

More information

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe International Training Program Queen s University Belfast Dept. Energy Sciences Tokyo Institute of Technology

More information

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI AT STANFORD Four labs under NNCI Umbrella at Stanford SNSF Stanford

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

ELECTRON-cyclotron-resonance (ECR) plasma reactors

ELECTRON-cyclotron-resonance (ECR) plasma reactors 154 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 10, NO. 1, FEBRUARY 1997 Plasma-Parameter Dependence of Thin-Oxide Damage from Wafer Charging During Electron-Cyclotron-Resonance Plasma Processing

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Absolute number density and kinetic analysis of CF, CF 2 and C 2 F 4 molecules in pulsed CF 4 /H 2 rf plasmas

Absolute number density and kinetic analysis of CF, CF 2 and C 2 F 4 molecules in pulsed CF 4 /H 2 rf plasmas ERNST MORITZ ARNDT UNIVERSITÄT GREIFSWALD Absolute number density and kinetic analysis of CF, CF 2 and C 2 F 4 molecules in pulsed CF 4 /H 2 rf plasmas I n a u g u r a l d i s s e r t a t i o n zur Erlangung

More information

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS*

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* Kapil Rajaraman** and Mark J. Kushner*** **Department of Physics ***Department of Electrical and

More information

Investigations on two different plasma tools by SEERS, OES and electrical measurements

Investigations on two different plasma tools by SEERS, OES and electrical measurements Investigations on two different plasma tools by SEERS, OES and electrical measurements Jan Konrad Bauer TU Dresden Institut für Halbleiter- und Mikrosystemtechnik Page 1 Das diesem Bericht zugrundeliegende

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Indian Journal of Pure & Applied Physics Vol. 48, October 2010, pp. 723-730 Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Bahaa T Chiad a, Thair L Al-zubaydi

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling G.A. Westenskow, D.P. Grote; LLNL J.W. Kwan, F. Bieniosek; LBNL PAC07 - FRYAB01 Albuquerque, New Mexico June 29, 2007 This work has

More information

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Lizhu Tong Keisoku Engineering System Co., Ltd., Japan September 18, 2014 Keisoku Engineering System Co., Ltd., 1-9-5 Uchikanda,

More information

Measurement of Absolute Argon Excited State Populations and Electron Energy Distribution Functions in an Ar-a-Si Plasma

Measurement of Absolute Argon Excited State Populations and Electron Energy Distribution Functions in an Ar-a-Si Plasma University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 5-2008 Measurement of Absolute Argon Excited State Populations and Electron

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Chemical Vapor Deposition *

Chemical Vapor Deposition * OpenStax-CNX module: m25495 1 Chemical Vapor Deposition * Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer Huashun Zhang Ion Sources With 187 Figures and 26 Tables Э SCIENCE PRESS Springer XI Contents 1 INTRODUCTION 1 1.1 Major Applications and Requirements 1 1.2 Performances and Research Subjects 1 1.3 Historical

More information

Characterization of electric charge carrier transport in organic semiconductors by time-of-flight technique

Characterization of electric charge carrier transport in organic semiconductors by time-of-flight technique Characterization of electric charge carrier transport in organic semiconductors by time-of-flight technique Raveendra Babu Penumala Mentor: Prof. dr. Gvido Bratina Laboratory of Organic Matter Physics

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Figure 1.1: Ionization and Recombination

Figure 1.1: Ionization and Recombination Chapter 1 Introduction 1.1 What is a Plasma? 1.1.1 An ionized gas A plasma is a gas in which an important fraction of the atoms is ionized, so that the electrons and ions are separately free. When does

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information