Investigations on two different plasma tools by SEERS, OES and electrical measurements

Size: px
Start display at page:

Download "Investigations on two different plasma tools by SEERS, OES and electrical measurements"

Transcription

1 Investigations on two different plasma tools by SEERS, OES and electrical measurements Jan Konrad Bauer TU Dresden Institut für Halbleiter- und Mikrosystemtechnik Page 1 Das diesem Bericht zugrundeliegende Vorhaben wurde mit Mitteln des Sächsischen Staatsministeriums für Wirtschaft und Arbeit (Förderkennzeichen 5706) gefördert. Die Verantwortung für den Inhalt dieser Veröffentlichung liegt beim Autor"

2 2.2. Investigations on two different plasma tools SEERS, electrical and OES measurements! Comparison of SEERS, results on different tools! Additional tests with the HERCULES equipment! Analysis of CF 4 processes with SEERS at a parallel plate system! Analysis of CF 4 processes with OES at a parallel plate system! Comparison of CF 4 process data between SEERS and OES! Summary of this chapter Page 2

3 Comparison of SEERS values on different tools Parallel Plate System (ZWG plasma etching tool) chamber diameter: approx. 12 in max. wafer diameter: 4 in max. CCP power: 600W SENTECH SI 600 D (plasma etching system) chamber diameter: approx. 10 in max. wafer diameter: 4 in max. CCP power: 600W max. µ-wave power: 600W Page 3

4 Collision rate [1/s] Comparison of SEERS values on different tools Comparison of SEERS values for Ar at 10Pa and 50sccm with CCP only Upper row: Collision frequency, electron density and DC bias measured at the parallel plate system 1E9 0 1E8 1E Collision rate [1/s] 1E7 Electron density [1/ccm] 1E E6 DC bias [V] 1E Pressure [Pa] E Pressure [Pa] Pressure [Pa] Lower row: Collision frequency, electron density and DC bias measured at the SENTECH system 1E9 0 1E8 1E E7 1E6 Electron density [1/ccm] 1E9 DC bias [V] E Pressure [Pa] E Pressure [Pa] Pressure [Pa] Page 4

5 Comparison of SEERS values on different tools Comparison of SEERS values for N 2 at 10Pa and 50sccm with CCP only Upper row: Collision frequency, electron density and DC bias measured at the parallel plate system 1E9 1E Collision rate [1/s] 1E8 Electron density [1/ccm] DC bias [V] E E E6 1E8 1E Pressure [Pa] E Pressure [Pa] Pressure [Pa] Lower row: Collision frequency, electron density and DC bias measured at the SENTECH system 1E10 0 1E Collision rate [1/s] 1E9 Electron density [1/ccm] E E8 1E7 1E No plasma Pressure [Pa] 500 1E8 1E No plasma 30 Pressure [Pa] 500 DC bias [V] Pressure [Pa] Page 5

6 Comparison of SEERS values on different tools Resumé of HERCULES testing on different tools! Identical recipe parameters in different tools yield different plasma parameters. The tool construction and the HERCULES setup has an inpact on the SEERS algorithm results.! We observed a decreasing electron density at increasing CCP power which might be a hint for a HERCULES problem with the SENTECH SI 600 D! The very high collision frequencies and electron densities under some conditions at low CCP power are currently not well understood. Page 6

7 Additional tests with the HERCULES equipment To understand some of the HERCULES results we have analyzed the CCP voltage. CCP voltage at lower electrode CCP [W] CCP voltage [V] time [ns] Page 7

8 Additional tests with the HERCULES equipment The Fourier spectrum shows the main peak at MHz. The strong intensity at zero results from the DC offset. Harmonics can be found at higher CCP settings, but the condition of a sinusodial rf voltage is approximately fulfilled. CCP Voltage at Lower Electrode Voltage [V] CCP [W] ,56 27,12 40,68 54,24 67,8 81,36 94,92 108,48 122,04 135,6 Frequency [MHz] Page 8

9 Additional tests with the HERCULES equipment The Fourier spectrum of the SEERS current shows strong needle-shaped harmonics. Plasma self resonance frequencies could not be found between 13 and 500 MHz. Fourier Spectrum of SEERS current 000 Intensity = (Isensor) CCP=W CCP=W CCP=W ,0 40,7 81,4 122,0 162,7 203,4 244,1 Frequency [MHz] Page 9

10 Additional tests with the HERCULES equipment The enveloping curves of the Fourier spectrum look like the SEERS spectra in the small window displayed by older versions of HERC/PL. Enveloping curves of I(SEERS current) 000 Intensity = (Isensor) CCP=W CCP=W CCP=W CCP=W CCP=W CCP=W ,0 40,7 81,4 122,0 162,7 203,4 244,1 Frequency [MHz] Page 10

11 Additional tests with the HERCULES equipment Page 11! The HERCULES results on our etching tools differ from the results described by Michael Klick et. al. for the same gases.! It appears that tool construction parameters are the reason for the differences in our HERCULES results.! CCP spectrum analysis shows that the sinusodial rf voltage condition is fulfilled, therefore the different SEERS values must also be caused by mechanical parameters inside the etching tool.! Fourier spectrum analysis of the SEERS current do not show plasma self resonance frequencies independent from 13,56 MHz, so the electron density in the plasma cannot be calculated directly to verify the SEERS values. " Michael Klick, Wolfgang Rehak and Marita Kammeyer, Plasma Diagnostics in rf Discharges Using Nonlinear and Resonance Effects, Jpn. J. Appl. Phys. Vol. 36 (1997)

12 Analysis of CF 4 processes with SEERS at ZWG! This series of experiments was meant to show the usability of HERCULES in a semi-realistic etching process! The following experiments were run with a constant flow of mixed gases. The experiment series were made from different CCP power settings and variious gas mixtures of CF 4 and O 2. 1% of Ar was added for Optical Emission Spectroscopy (OES) purposes. This low portion of Ar has no significant impact on the HERCULES parameters: Collision frequency and electron density. Page 12

13 Analysis of CF 4 processes with SEERS at ZWG Etching process CF4(1-x%)+O2(x%)+Ar(1%) 3,50E+08 3,00E+08 Collision freq. [1/s] 2,50E+08 2,00E+08 1,50E+08 1,00E+08 0% O2 20% O2 40% O2 60% O2 80% O2 % O2 10% O2 90% O2 5,00E+07 0,00E Page 13

14 Analysis of CF 4 processes with SEERS at ZWG In general, the SEERS electron density is increasing with higher CCP power. However, the different trends at high O 2 levels have to be further examined. Etching process CF4(1-x%)+O2(x%)+Ar(1%) 1,20E+09 1,00E+09 Electron density [1/ccm] 8,00E+08 6,00E+08 4,00E+08 0% O2 20% O2 40% O2 60% O2 80% O2 % O2 10% O2 90% O2 Page 14 2,00E+08 0,00E

15 Analysis of CF 4 processes with SEERS at ZWG The DC bias seems to be a good indicator for the CCP power. The gas mixture has only minor impact. Etching process CF4(1-x%)+O2(x%)+Ar(1%) DC bias [V] % O2 20% O2 40% O2 60% O2 80% O2 % O2 10% O2 90% O Page 15

16 Analysis of CF 4 processes with SEERS at ZWG Collision Frequency vs. Gas Mixture 3,50E+08 3,00E+08 2,50E+08 Collision freq. [1/s] 2,00E+08 1,50E+08 CCP=W CCP=W CCP=W CCP=W CCP=500W 1,00E+08 5,00E+07 0,00E Gas mixture: F (1-x)sccm + O x sccm + Ar 1 sccm Page 16

17 Analysis of CF 4 processes with SEERS at ZWG Electron Density vs. Gas Mixture 1,20E+09 1,00E+09 Electron density [1/ccm] 8,00E+08 6,00E+08 4,00E+08 CCP=W CCP=W CCP=W CCP=W CCP=500W 2,00E+08 0,00E Gas mixture: F (1-x)sccm + O x sccm + Ar 1 sccm Page 17

18 Analysis of CF 4 processes with SEERS at ZWG The DC bias voltage determined in the match box is nearly independent from the gas mixture. Bias Voltage vs. Gas Mixture DC bias [V] CCP=W CCP=W CCP=W CCP=W CCP=500W Gas mixture: F (1-x)sccm + O x sccm + Ar 1 sccm Page 18

19 Analysis of CF 4 processes with SEERS at ZWG The electron density versus collision freq. ratio is nearly independent of rf power but depends on the gas mixture. Electron Density vs. Collision Freq. 1,20E+09 1,00E+09 Electron density [1/ccm] 8,00E+08 6,00E+08 4,00E+08 0% O2 10% O2 20% O2 40% O2 60% O2 80% O2 90% O2 % O2 Page 19 2,00E+08 0,00E+00 0,00E+00 5,00E+07 1,00E+08 1,50E+08 2,00E+08 2,50E+08 3,00E+08 3,50E+08 Collision freq. [1/s]

20 Analysis of CF 4 processes with OES at ZWG SpectraMax OES! 1024*128 px CCD matrix! Monochromator with 3 different gratings! GPIB Interface for control and measurement Optical Emission Spectroscopy! OES does not disturb the plasma process! OES is immune to HF problems! Deposited layers on the viewport disturb OES measurements! OES can deliver independend values to verify SEERS results Page 20

21 Analysis of CF 4 processes with OES at ZWG The intensity of atomic Fluorine increases with higher CCP and shows an interesting maximum at about 50% oxygen. The remaining F intensity at % O 2 results from desorption off the chamber wall. Etching process CF4(1-x%)+O2(x%)+Ar(1%) 1 0,9 0,8 Intensity ratio I F685/I Ar750 [1] 0,7 0,6 0,5 0,4 0,3 0% O2 20% O2 40% O2 60% O2 80% O2 % O2 10% O2 90% O2 0,2 0, Page 21

22 I F685 / I Ar750 intensity ratio Analysis of CF 4 processes with OES at ZWG The intensity of atomic Fluorine increases with higher CCP and shows an interesting maximum at about 50% oxygen. The remaining F intensity at % O 2 results from desorption off the chamber wall. 1,0 The x-axis shows the oxygen portion in the plasma. The fluor portion is % - x-value. 0, , O2 portion [%] Page 22

23 Analysis of CF 4 processes with OES at ZWG This diagramme shows the OES dependence of CCP to the oxygen concentration. Etching process CF4(1-x%)+O2(x%)+Ar(1%) 1,E+01 1,E+01 Intensity ratio I O777/I Ar750 [1] 1,000E+01 8,000E+00 6,000E+00 4,000E+00 0% O2 20% O2 40% O2 60% O2 80% O2 % O2 10% O2 90% O2 2,000E+00 0,000E Page 23

24 Analysis of CF 4 processes with OES at ZWG This diagramme shows the OES dependence of CCP to the oxygen concentration. Changing CCP between and 500W makes only little difference in the intensity ratio The x-axis shows the oxygen portion in the plasma. The fluor portion is % - x-value I O777 / I Ar750 intensity ratio O2 portion [%] Page 24

25 Comparison between SEERS and OES This diagramme shows the Fluorine intensity ratio I685/I703 as a function of the collision rate. Collision Frequency = f(f685/f703) 2,5 Intensity ratio I F685/ I F703 [1] 2 1,5 1 0,5 0%O2 10% 20% 40% 60% 80% 90% 0 0,00E+00 5,00E+07 1,00E+08 1,50E+08 2,00E+08 2,50E+08 Collision freq. [1/s] Page 25

26 Comparison between SEERS and OES The CCP power increases in the marked direction. The corner of the orange approximation line lies between and W. Collision Frequency = f(f685/f703) 2,5 Intensity ratio I F685/ I F703 [1] 2 1,5 1 0,5 0%O2 10% 20% 40% 60% 80% 90% 0 0,00E+00 5,00E+07 1,00E+08 1,50E+08 2,00E+08 2,50E+08 Collision freq. [1/s] Page 26

27 Comparison between SEERS and OES The direct comparison of CCP power and and the F685/F703 OES intensity ratio does not show this pronounced corner. Intensity ratio I F685/I F 703 = f(ccp) 2,25 2 Intensity ratio I F685/I F 703 [1] 1,75 1,5 1,25 1 0% O2 10% 20% 40% 60% 80% 90% 0,75 0, Page 27

28 Summary and Conclusion Page 28! Experimental HERCULES results of pure gases cannot be used on different etching tools without knowing the specific impact of tool characteristics on SEERS.! The collision frequency versus electron density ratio is a sentive measure for CF 4 and O 2 gas mixtures. The settings of the MFCs and the SEERS values have been verified with OES.! The OES measurements show a maximum in the concentration of atomic Fluorine when the O 2 fraction is at about 50%. HERCULES shows no representation for this effect.! CCP power settings below W cause a different trend for the collision frequency which is up to now not well understood.! Further experiments are necessary to understand the impact of the plasma system specifics on the SEERS model.

Comparison of Applied Materials DPS chambers used for Poly Si etch processes by plasma parameters

Comparison of Applied Materials DPS chambers used for Poly Si etch processes by plasma parameters Page 1 Comparison of Applied Materials DPS chambers used for Poly Si etch processes by plasma parameters Das diesem Bericht zugrundeliegende Vorhaben wurde mit Mitteln des Sächsischen Staatsministeriums

More information

Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP

Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP Page 1 Das diesem Bericht zugrundeliegende Vorhaben wurde

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Technology Improvement and Fault Detection @ TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Russell Benson, Micron Daniel Steckert, Micron Lutz Eichhorn, Plasmetrex Michael Klick, Plasmetrex

More information

SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma

SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma Rolf-Dieter Schulze, Jörg F. Friedrich, Bundesanstalt für Materialforschung und -prüfung Berlin * Introduction - pulsed r.f.-plasma

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES Romanian Reports in Physics, Vol. 57, No. 3, P. 390-395, 2005 CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES C. PETCU, B. MITU, G. DINESCU National Institute for Lasers,

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) *Kye Hyun Baek, Gopyo Lee, Yong Woo Lee, Gyung-Jin Min, Changjin Kang, Han-Ku

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

arxiv: v1 [physics.plasm-ph] 10 Nov 2014

arxiv: v1 [physics.plasm-ph] 10 Nov 2014 arxiv:1411.2464v1 [physics.plasm-ph] 10 Nov 2014 Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/MCC simulations of capacitively coupled plasmas A. Derzsi 1, I. Korolov

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Plasma Modeling with COMSOL Multiphysics

Plasma Modeling with COMSOL Multiphysics Plasma Modeling with COMSOL Multiphysics Copyright 2014 COMSOL. Any of the images, text, and equations here may be copied and modified for your own internal use. All trademarks are the property of their

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES Michael A. Lieberman University of California, Berkeley lieber@eecs.berkeley.edu DOE Center on Annual Meeting May 2015 Download this talk: http://www.eecs.berkeley.edu/~lieber

More information

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741 Measurement of electron temperatures and electron energy distribution functions in dual frequency capacitively coupled CF 4 /O 2 plasmas using trace rare gases optical emission spectroscopy Zhiying Chen,

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Plasma Potential Determination in RF Capacitively Coupled Plasma by Measuring Electrode Voltage. Nagoya university Hironao Shimoeda

Plasma Potential Determination in RF Capacitively Coupled Plasma by Measuring Electrode Voltage. Nagoya university Hironao Shimoeda Plasma Potential Determination in RF Capacitively Coupled Plasma by Measuring Electrode Voltage. Nagoya university Hironao Shimoeda Introduction The University of Texas at Dallas International Center for

More information

Study of DC Cylindrical Magnetron by Langmuir Probe

Study of DC Cylindrical Magnetron by Langmuir Probe WDS'2 Proceedings of Contributed Papers, Part II, 76 8, 22. ISBN 978-737825 MATFYZPRESS Study of DC Cylindrical Magnetron by Langmuir Probe A. Kolpaková, P. Kudrna, and M. Tichý Charles University Prague,

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Graduate school of Engineering, Hori-Sekine Lab. Doctor course student Yusuke Abe Ruhr

More information

Striations in electronegative capacitively coupled radio-frequency plasmas: effects of the pressure, voltage, and electrode gap

Striations in electronegative capacitively coupled radio-frequency plasmas: effects of the pressure, voltage, and electrode gap Striations in electronegative capacitively coupled radio-frequency plasmas: effects of the pressure, voltage, and electrode gap Yong-Xin Liu 1, Ihor Korolov 2, Edmund Schüngel 3, You-Nian Wang 1, Zoltán

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Electroluminescence from a single nanotube-molecule-nanotube junction Christoph W. Marquardt, Sergio Grunder, Alfred Błaszczyk, Simone Dehm, Frank Hennrich, Hilbert v. Löhneysen,

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION

OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION P. MUKHERJEE, P. SAKTHIVEL AND S. WITANACHCHI Department of Physics, University of South Florida, Tampa, FL 33620,

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Determination of Electron Temperatures in Rare- Gases Plasma

Determination of Electron Temperatures in Rare- Gases Plasma Iraqi J. of Appl. Phys., Vol. 4, No.,, -9 Faez M. Ibraheem Department of Physics, College of Science, University of Al-Fateh, Tripoli, Libya faez_ibraheem@hotmail.com Determination of Electron mperatures

More information

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion C. Wimmer a, U. Fantz a,b and the NNBI-Team a a Max-Planck-Institut für Plasmaphysik, EURATOM

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Lizhu Tong Keisoku Engineering System Co., Ltd., Japan September 18, 2014 Keisoku Engineering System Co., Ltd., 1-9-5 Uchikanda,

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research

PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research Laboratories, Industrial Technology Research Institute,

More information

NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE

NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators:

More information

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp Chapter 8 Summary and outlook In the present work reactive plasmas have been investigated by comparing experimentally obtained densities with the results from a simple chemical model. The studies have

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

The chemistry of a CCl 2 F 2 radio frequency discharge

The chemistry of a CCl 2 F 2 radio frequency discharge The chemistry of a CCl 2 F 2 radio frequency discharge W. W. Stoffels, E. Stoffels, M. Haverlag, G. M. W. Kroesen, and F. J. de Hoog Department of Physics, Eindhoven University of Technology, 5600 MB Eindhoven,

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Plasma ionization through wave-particle interaction in a capacitively coupled radiofrequency

Plasma ionization through wave-particle interaction in a capacitively coupled radiofrequency Plasma ionization through wave-particle interaction in a capacitively coupled radiofrequency discharge D. O Connell, T. Gans, D. Vender, U. Czarnetzki, and R. Boswell Citation: Physics of Plasmas (1994-present)

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Optical Emission Spectroscopy of Diffuse Coplanar Surface Barrier Discharge

Optical Emission Spectroscopy of Diffuse Coplanar Surface Barrier Discharge WDS'13 Proceedings of Contributed Papers, Part II, 144 148, 2013. ISBN 978-80-7378-251-1 MATFYZPRESS Optical Emission Spectroscopy of Diffuse Coplanar Surface Barrier Discharge Z. Tučeková, 1 A. Zahoranová,

More information

Measurement of Absolute Argon Excited State Populations and Electron Energy Distribution Functions in an Ar-a-Si Plasma

Measurement of Absolute Argon Excited State Populations and Electron Energy Distribution Functions in an Ar-a-Si Plasma University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 5-2008 Measurement of Absolute Argon Excited State Populations and Electron

More information

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films Plasma Science and Technology, Vol.14, No.2, Feb. 2012 Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films PANG Jianhua ( ) 1, LU Wenqi ( ) 1, XIN Yu ( ) 2, WANG Hanghang

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Modeling Dust-Density Wave Fields as a System of Coupled van der Pol Oscillators

Modeling Dust-Density Wave Fields as a System of Coupled van der Pol Oscillators Kristoffer Menzel 13th WPDP 1 Modeling Dust-Density Wave Fields as a System of Coupled van der Pol Oscillators Kristoffer Ole Menzel, Tim Bockwoldt, Oliver Arp, Alexander Piel 13th WPDP, Waco May 21, 2012

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES* 51th Gaseous Electronics Conference & 4th International Conference on Reactive Plasmas Maui, Hawai i 19-23 October 1998 OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Electrical Discharges Characterization of Planar Sputtering System

Electrical Discharges Characterization of Planar Sputtering System International Journal of Recent Research and Review, Vol. V, March 213 ISSN 2277 8322 Electrical Discharges Characterization of Planar Sputtering System Bahaa T. Chaid 1, Nathera Abass Ali Al-Tememee 2,

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Beamline practice at BL01B1 (XAFS) In-situ XAFS measurement of catalyst samples

Beamline practice at BL01B1 (XAFS) In-situ XAFS measurement of catalyst samples Beamline practice at BL01B1 (XAFS) In-situ XAFS measurement of catalyst samples ver. 2015/09/18 T. Ina, K. Kato, T. Uruga (JASRI), P. Fons (AIST/JASRI) 1. Introduction The bending magnet beamline, BL01B1,

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

All about sparks in EDM

All about sparks in EDM All about sparks in EDM (and links with the CLIC DC spark test) Antoine Descoeudres, Christoph Hollenstein, Georg Wälder, René Demellayer and Roberto Perez Centre de Recherches en Physique des Plasmas

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS S.K. Lazarouk, D.A. Sasinovich BELARUSIAN STATE UNIVERSITY OF INFORMATICS AND RADIOELECTRONICS Outline: -- experimental

More information

Glass surface modification using Nd:YAG laser in SF 6 atmospheres

Glass surface modification using Nd:YAG laser in SF 6 atmospheres J Theor Appl Phys (2015) 9:135 140 DOI 10.1007/s40094-015-0171-y RESEARCH Glass surface modification using Nd:YAG laser in SF 6 atmospheres H. R. Dehghanpour P. Parvin Received: 2 September 2014 / Accepted:

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy N. Dietz, D.J. Stephens, G. Lucovsky and K.J. Bachmann North Carolina State University, Raleigh,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Electron heating in capacitively coupled radio frequency discharges

Electron heating in capacitively coupled radio frequency discharges Electron heating in capacitively coupled radio frequency discharges Dissertation zur Erlangung des Grades eines Doktors der Naturwissenschaften in der Fakultät für Physik und Astronomie der Ruhr-Universität

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Energy loss measurements of swift ions penetrating hot and dense plasma at the UNILAC

Energy loss measurements of swift ions penetrating hot and dense plasma at the UNILAC Energy loss measurements of swift ions penetrating hot and dense plasma at the UNILAC Abel Blažević A. Frank, T. Hessling, D.H.H. Hoffmann, R. Knobloch-Mass, A. Pelka, M. Roth, G. Schaumann, M. Schollmeier,

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

ARGON EXCIMER LAMP. A. Sobottka, L. Prager, L. Drößler, M. Lenk. Leibniz Institute of Surface Modification

ARGON EXCIMER LAMP. A. Sobottka, L. Prager, L. Drößler, M. Lenk. Leibniz Institute of Surface Modification ARGON EXCIMER LAMP A. Sobottka, L. Prager, L. Drößler, M. Lenk 1 Introduction Ar-Zufuhr Excimer-Plasma Inertisierung Polymerfolie Sintermetall Inertisierung Post curing [1] EP 1050395 A2 2 Introduction

More information

Detection of Water in Low-k

Detection of Water in Low-k Fakultät Elektrotechnik und Informationstechnik, Institut für Halbleiter- und Mikrosystemtechnik Smart Failure Analysis for New Materials in Electronic Devices Detection of Water in Low-k Dielectric Films

More information