Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Size: px
Start display at page:

Download "Plasma-Surface Interactions and Impact on Electron Energy Distribution Function"

Transcription

1 Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b) University of California, Berkeley (c) RF Plasma Consulting, Brookline, MA

2 Motivation Control of plasma distribution functions (DF): Clarify issues when plasma-surface interactions at plasma boundaries change strongly, e.g. non-reactive vs. reactive discharges and/or surfaces 2

3 Motivation OES Ion MS MS Ar/HH 2 Plasma Wall Probe Erosion C, CHn Si, SiHn Transport Redeposition CHm or SiHm Carbon or Silicon Surface Real-time ellipsometry Different Surface Modified Surface Layer (intensity, extent) Ar/H 2 plasma interacting w/ a-c:h Characterize impact of surface-generated species on f(v,r,t) Probe, plasma sampling and OES measurements of f(v,r,t) for modified situations Characterize surface processes using ellipsometry, and compare results with MD simulations of surface processes Interpret data by developing overall model 3

4 Wrinkling-induced Surface Roughness Formation Wrinkle wavelength and amplitude calculated using measured using damaged properties vs. AFM derived properties R. L. Bruce, et al., J. Appl. Phys. 107, (2010) 4

5 Helium Plasma Pre-Treatment Possible approach: Plasma pretreatment (PPT) UV plasma radiation reduces plane strain modulus E s (chain-scissioning) and densifies without stress before actual PE Helium PPT: No ion crust establishment More photons at low wavelengths (58.4 nm) 5 5

6 Outline Ar/H 2 plasmas Langmuir probe measurements Comparison with existing studies Ar/H 2 plasma interaction with hydrocarbons Surface effects on H 2 plasma Hydrocarbon erosion into H 2 plasma 6

7 Experimental setup and parameters Inductively coupled plasma chamber mtorr W source power Deposition of Soft/Hard a-c:h films: Erosion of a-c:h films: Characterization: In-situ single wavelength ellipsometry Atomic force microscopy Langmuir Probe Ion Sampling system Modeling: TRIM.SP simulations MD simulations Multilayer ellipsometric modeling HIDEN EQP Ion sampling 7

8 Langmuir probe measurements Probe at high temperature and sputtering conditions between sweeps Increase in plasma density seen with CH4 added Compare to surface derived C x H y H 2 with CH 4 Addition 8

9 H 2 plasma density when eroding a-c:h Ellipsometrically derived equivalent flows from erosion rates of a-c:h on substrate electrode Shows increase in plasma density similar to adding methane 9

10 Real-time ellipsometry hard PECVD deposition data ~46% H n = 1.6 soft soft ~30% H n= 2.1 hard Real-time ellipsometry to determine properties of a-c:h films Relationship between optical index (n), density and % H is well established (Jacob et al.) Allows for determining level and depth of modification in real-time Surface topography, chemical composition, density Hard/soft films have very different degree/type of modification 10

11 Ar on graphitic a-c:h Ar -100 V bias 10 mtorr 300 W Ar plasma causes surface densification Modification increases with ion energy 11

12 Ar on Hard a-c:h MD vs. Experimental After ev Ar+ impacts Experimental plasmas: Ar 10 mtorr 300 W Initial film Steady state Molecular Dynamics simulations Tersoff Brenner style reactive empirical bond order potential Molière potential to describe Ar interaction with other species Described in detail in D. B. Graves and P. Brault, Journal of Physics D: Applied Physics 42 (19), (2009) Ar surface evolution under 100 ev impacts Loss of H due to physical sputtering Densification of a-c:h up to ~ 2nm into the film Comparable fluence to achieve steady-state 12

13 H 2 on graphitic a-c:h H V bias 30 mtorr, 600 W H 2 plasma causes surface hydrogenation At low energies modified layer is very thick 13

14 H saturated layer formation on graphitic a-c:h Using TRIM.SP graphite parameters Hopf, Jacob 2005 D + H + Substrate biasing Yields calculated using ion fluence by substrate bias current Yield not normalized for molecular H 2+ /H 3+ ions Hydrogenated layer bias voltages lead to in modified layer Decreases due to increase in erosion rate (yield) and physical sputtering inhibits H incorporation/saturation D 2 plasma shows similar effect of decreasing modification with increasing bias 14

15 Ar/H 2 measurements (10 mtorr, 300W) Plasma density is seen to decrease and electron temperature increases 15

16 Ar/H 2 measurements (10 mtorr, 300W) Electron temperature is seen to increase with addition At lower densities, molecular interactions do not sap electron energies (as seen for measurements done at higher pressure and densities) 16

17 Ar/H 2 plasmas on graphitic a-c:h Surface modification At low hydrogen contents (<5%) the surface densifies like pure Ar At 5% H 2, the surface first densifies then hydrogenates to about 2 nm Higher %H 2 begin to approach pure H 2 in terms of H saturation at the surface 17

18 Ar/H 2 plasmas on graphitic a-c:h - 33%H a-c:h - - Hard to soft layer modification Hard a-c:h (30%-35% H 2 ) hydrogenates at low H x ion energies in H 2 plasmas At low energies, a modified layer is formed At even higher energies the film surface may deplete Soft a-c:h (47%-42% H 2 ) depletes surface H in H 2 plasmas Within our range of operational ion energies 35% a-c:h can be switched from soft hard surface modified layer under H 2 plasma 18

19 Conclusions H 2 /Ar plasmas profoundly influence hard a-c:h surface properties during interaction Low levels of surface carbon can change plasma distribution functions Change in electron temperature small Increase in plasma density (impact on secondary electron emission coefficient?) Change in chemical nature of discharges may be most significant e.g. small fluxes of chemically active species, nature of VUV photons, 19

20 Acknowledgements We gratefully acknowledge support of this work by the US Department of Energy Office of Fusion Energy Sciences (DE-SC ) 20

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion N. Fox-Lyon, F. Weilnboeck, G.S. Oehrlein Department of Material Science and Engineering, Department

More information

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions N. Fox-Lyon, G.S. Oehrlein Department of Material Science and Engineering, Department of Physics, and Institute for Research

More information

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions Graves Lab Department of Chemical and Biomolecular Engineering University of California, Berkeley Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions N. Ning, and D. B. Graves Department of

More information

ABSTRACT. Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013

ABSTRACT. Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013 ABSTRACT Title of Document: PLASMA-SURFACE INTERACTIONS DURING REACTIVE PLASMA PROCESSING OF HYDROCARBON FILMS Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013 Directed By: Professor Gottlieb S. Oehrlein

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen

Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen W. Jacob, C. Hopf, and M. Schlüter Max-Planck-Institut für Plasmaphysik, EURATOM Association, Boltzmannstr.

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes Max-Planck-Institut für Plasmaphysik Thomas Schwarz-Selinger Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes personal research interests / latest work

More information

Chemical Erosion and Critical Issues for ITER

Chemical Erosion and Critical Issues for ITER Chemical Erosion and Critical Issues for ITER J. Roth Max-Planck-Institut für Plasmaphysik, Garching Chemical Erosion Studies Erosion yields: Dependence on temperature, energy and flux Emitted hydrocarbons

More information

Hiden EQP Applications

Hiden EQP Applications Hiden EQP Applications Mass/Energy Analyser for Plasma Diagnostics and Characterisation EQP Overview The Hiden EQP System is an advanced plasma diagnostic tool with combined high transmission ion energy

More information

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics A comparison of the defects introduced during plasma exposure in high- and low-k dielectrics H. Ren, 1 G. Jiang, 2 G. A. Antonelli, 2 Y. Nishi, 3 and J.L. Shohet 1 1 Plasma Processing & Technology Laboratory

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS ABSTRACT Title: COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS Bryan J. Orf, Masters of Science, 2006 Directed By: Professor Gottlieb S. Oehrlein, Department of Material Science and

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

UC Berkeley UC Berkeley Electronic Theses and Dissertations

UC Berkeley UC Berkeley Electronic Theses and Dissertations UC Berkeley UC Berkeley Electronic Theses and Dissertations Title Plasma Diagnostics and Plasma-Surface Interactions in Inductively Coupled Plasmas Permalink https://escholarship.org/uc/item/0hn5z4f1 Author

More information

ABSTRACT. in the fabrication of integrated circuits and nanostructures. As the dimensions of

ABSTRACT. in the fabrication of integrated circuits and nanostructures. As the dimensions of ABSTRACT Title of Document: INFLUENCE OF POLYMER STRUCTURE ON PLASMA-POLYMER INTERACTIONS IN RESIST MATERIALS Robert Lawson Bruce, Doctor of Philosophy, 2010 Directed By: Professor Gottlieb S. Oehrlein,

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

A Kinetic Theory of Planar Plasma Sheaths Surrounding Electron Emitting Surfaces

A Kinetic Theory of Planar Plasma Sheaths Surrounding Electron Emitting Surfaces A Kinetic Theory of Planar Plasma Sheaths Surrounding Electron Emitting Surfaces J. P. Sheehan1, I. Kaganovich2, E. Barnat3, B. Weatherford3, H. Wang2, 4 1 2 D. Sydorenko, N. Hershkowitz, and Y. Raitses

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2529-2534 ARGON RF PLASMA TREATMENT OF FILMS FOR SILICON FILMS ADHESION IMPROVEMENT I. A. Rusu *, G. Popa, S. O. Saied

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans Modification of thin films and nanoparticles Johannes Berndt, GREMI,Orléans Low temperature plasmas not fully ionized Ionization degree 10-6 10-4 far away from thermodynamic equlilibrium T electron >>

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device 1 EX/P4-8 Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device T. Hino 1,2), T. Hirata 1), N. Ashikawa 2), S. Masuzaki 2), Y. Yamauchi

More information

Plasma Chamber. Fortgeschrittenes Praktikum I. Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot. Abstract

Plasma Chamber. Fortgeschrittenes Praktikum I. Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot. Abstract Plasma Chamber Fortgeschrittenes Praktikum I Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot Abstract The aims of this experiment are to be familiar with a vacuum chamber, to understand what

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

OPTICAL ANALYSIS OF ZnO THIN FILMS USING SPECTROSCOPIC ELLIPSOMETRY AND REFLECTOMETRY.

OPTICAL ANALYSIS OF ZnO THIN FILMS USING SPECTROSCOPIC ELLIPSOMETRY AND REFLECTOMETRY. OPTICAL ANALYSIS OF ZnO THIN FILMS USING SPECTROSCOPIC ELLIPSOMETRY AND REFLECTOMETRY Katarína Bombarová 1, Juraj Chlpík 1,2, Soňa Flickyngerová 3, Ivan Novotný 3, Július Cirák 1 1 Institute of Nuclear

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS S.K. Lazarouk, D.A. Sasinovich BELARUSIAN STATE UNIVERSITY OF INFORMATICS AND RADIOELECTRONICS Outline: -- experimental

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Supplementary Figure 1. AFM profiles of the charge transport and perovskite layers. AFM Image showing the thickness (y axis) of the layer with respect to the horizontal position of

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions

Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions CMS Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions Kai Nordlund Department of Physics and Helsinki Institute of Physics University of Helsinki,

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Graduate school of Engineering, Hori-Sekine Lab. Doctor course student Yusuke Abe Ruhr

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Sputtering by Particle Bombardment

Sputtering by Particle Bombardment Rainer Behrisch, Wolfgang Eckstein (Eds.) Sputtering by Particle Bombardment Experiments and Computer Calculations from Threshold to MeV Energies With 201 Figures e1 Springer Contents Introduction and

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Mag. rer. nat. Markus Kahn. Being a thesis in partial fulfilment of the requirements for the degree of a. Doctor of Montanistic Sciences (Dr. mont.

Mag. rer. nat. Markus Kahn. Being a thesis in partial fulfilment of the requirements for the degree of a. Doctor of Montanistic Sciences (Dr. mont. Room-Temperature Deposition of DLC Films by an Ion Beam Method, Reactive Magnetron Sputtering and Pulsed Laser Deposition: Process Design, Film Structure and Film Properties Mag. rer. nat. Markus Kahn

More information

CHAPTER 1 INTRODUCTION TO DIAMOND LIKE CARBON FILMS AND ITS DEPOSITION TECHNIQUES

CHAPTER 1 INTRODUCTION TO DIAMOND LIKE CARBON FILMS AND ITS DEPOSITION TECHNIQUES 1 CHAPTER 1 INTRODUCTION TO DIAMOND LIKE CARBON FILMS AND ITS DEPOSITION TECHNIQUES 1.1 CARBON Carbon is an unique and abundant chemical element in nature and also proven to be one of the most fascinating

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

Opportunities for Advanced Plasma and Materials Research in National Security

Opportunities for Advanced Plasma and Materials Research in National Security Opportunities for Advanced Plasma and Materials Research in National Security Prof. J.P. Allain allain@purdue.edu School of Nuclear Engineering Purdue University Outline: Plasma and Materials Research

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) The effect of ion-surface and ion-bulk interactions during hydrogenated amorphous silicon deposition Smets, A.H.M.; Kessels, W.M.M.; van de Sanden, M.C.M. Published in: Journal of Applied Physics DOI:

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Comparison of tungsten fuzz growth in Alcator C-Mod and linear plasma devices!

Comparison of tungsten fuzz growth in Alcator C-Mod and linear plasma devices! Comparison of tungsten fuzz growth in Alcator C-Mod and linear plasma devices G.M. Wright 1, D. Brunner 1, M.J. Baldwin 2, K. Bystrov 3, R. Doerner 2, B. LaBombard 1, B. Lipschultz 1, G. de Temmerman 3,

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Plasma based modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Plasma based modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans Plasma based modification of thin films and nanoparticles Johannes Berndt, GREMI,Orléans What is a plasma? A plasma is a ionized quasineutral gas! + electron electrons Neon bottle Ne atom Ne ion: Ne +

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

Molecular Dynamics Simulation of Chemical Sputtering of Hydrogen Atom on Layer Structured Graphite

Molecular Dynamics Simulation of Chemical Sputtering of Hydrogen Atom on Layer Structured Graphite 1 TH/7-1 Molecular Dynamics Simulation of Chemical Sputtering of Hydrogen Atom on Layer Structured Graphite A. Ito 1,2), Y. Wang 1), S. Irle 1), K. Morokuma 3), and H. Nakamura 2) 1) Nagoya University,

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION

OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION P. MUKHERJEE, P. SAKTHIVEL AND S. WITANACHCHI Department of Physics, University of South Florida, Tampa, FL 33620,

More information

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition Z. C. Lu, J. E. Foster, T. G. Snodgrass, J. H. Booske, and A. E. Wendt a) Engineering

More information

Molecular Dynamics Simulation of Hydrocarbon Reflection and Dissociation Coefficients from Fusion-Relevant Carbon Surfaces

Molecular Dynamics Simulation of Hydrocarbon Reflection and Dissociation Coefficients from Fusion-Relevant Carbon Surfaces Physica Scripta. Vol. T111, 145 151, 2004 Molecular Dynamics Simulation of Hydrocarbon Reflection and Dissociation Coefficients from Fusion-Relevant Carbon Surfaces D. A. Alman and D. N. Ruzic Department

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Relationship between production and extraction of D - /H - negative ions in a volume negative ion source

Relationship between production and extraction of D - /H - negative ions in a volume negative ion source J. Plasma Fusion Res. SERIES, Vol. 8 (2009) Relationship between production and extraction of D - /H - negative ions in a volume negative ion source Takahiro Nakano, Shigefumi Mori, Yasushi Tauchi, Wataru

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Plasma diagnostics of pulsed sputtering discharge

Plasma diagnostics of pulsed sputtering discharge Plasma diagnostics of pulsed sputtering discharge Vitezslav Stranak Zdenek Hubicka, Martin Cada and Rainer Hippler University of Greifswald, Institute of Physics, Felix-Hausdorff-Str. 6, 174 89 Greifswald,

More information

Dissociative Excitation of H2 in an RF Plasma

Dissociative Excitation of H2 in an RF Plasma Macalester Journal of Physics and Astronomy Volume 4 Issue 1 Spring 2016 Article 2 May 2016 Dissociative Excitation of H2 in an RF Plasma John Carlson Macalester College, jcarls10@macalester.edu Abstract

More information

Multicusp Sources for Ion Beam Lithography Applications

Multicusp Sources for Ion Beam Lithography Applications LBL-3 6645 UC-406 Multicusp Sources for Ion Beam Lithography Applications K.N. Leung, P. H e n, W.B. Kunkel, Y. Lee, L. Perkins, D. Pickard, M. Sarstedt, M. Weber, and M.D. Williams Accelerator and Fusion

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

Analysis of Discharge Parameters and Spectroscopic Diagnostic of DBDs

Analysis of Discharge Parameters and Spectroscopic Diagnostic of DBDs Analysis of Discharge Parameters and Spectroscopic Diagnostic of DBDs Pooja Gulati Plasma Device Technology, Microwave Tubes Division CSIR-Central Electronics Engineering Research Institute (CSIR-CEERI)

More information

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy N. Dietz, D.J. Stephens, G. Lucovsky and K.J. Bachmann North Carolina State University, Raleigh,

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE

NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators:

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Design of a new family of catalytic support based on thiol containing plasma polymer films

Design of a new family of catalytic support based on thiol containing plasma polymer films Design of a new family of catalytic support based on thiol containing plasma polymer films Dr. D. Thiry damien.thiry@umons.ac.be Chimie des Interactions Plasma Surface (ChIPS), CIRMAP, University of Mons,

More information

Real-time electron-spin-resonance measurement of plasma induced surface interactions

Real-time electron-spin-resonance measurement of plasma induced surface interactions Realtime electronspinresonance measurement of plasma induced surface interactions 1 Naoya Sumi, 1 Kenji Ishikawa, 2 Hideo Horibe, 2 Akihiko Kono, 1 Keigo Takeda, 1 Hiroki Kondo, 1,3 Makoto Sekine and 1,3

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Ion-induced surface activation, chemical sputtering, and hydrogen release during plasma-assisted hydrocarbon film growth

Ion-induced surface activation, chemical sputtering, and hydrogen release during plasma-assisted hydrocarbon film growth JOURNAL OF APPLIED PHYSICS 97, 094904 2005 Ion-induced surface activation, chemical sputtering, and hydrogen release during plasma-assisted hydrocarbon film growth C. Hopf and W. Jacob a Max-Planck-Institut

More information

EROSION AND DEPOSITION MECHANISMS IN FUSION PLASMAS. A. Kirschner

EROSION AND DEPOSITION MECHANISMS IN FUSION PLASMAS. A. Kirschner EROSION AND DEPOSITION MECHANISMS IN FUSION PLASMAS A. Kirschner Institut für Energieforschung (Plasmaphysik), Forschungszentrum Jülich GmbH, Association EURATOM-FZJ, Trilateral Euregio Cluster, 52425

More information

CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING

CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING Q. Yang 1, C. Xiao 1, R. Sammynaiken 2 and A. Hirose 1 1 Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place Saskatoon, SK

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information