The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Size: px
Start display at page:

Download "The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma"

Transcription

1 The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running Authors: Masatoshi et al. Masatoshi Kawakami a) Electronic Device Systems Business Group, Hitachi High-Technologies Corporation, 794 Higashitoyoi, Kudamatsu, Yamaguchi , Japan Dominik Metzler a) Department of Material Science and Engineering, Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, MD 20742, USA Chen Li a) Department of Physics, Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, MD 20742, USA Gottlieb S. Oehrlein Department of Material Science and Engineering, Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, MD 20742, USA a), b) a) American Vacuum Society member. (Please identify all AVS member authors.) b) Electronic mail: oehrlein@umd.edu 1

2 We studied the effect of the temperature and chemical state of the chamber wall on process performance for atomic layer etching of SiO 2 using a steady-state Ar plasma, periodic injection of a defined number of C 4 F 8 molecules, and synchronized plasmabased Ar + ion bombardment. To evaluate these effects, we measured the quartz coupling window temperature. The plasma gas phase chemistry was characterized using optical emission spectroscopy. It was found that although the thickness of the polymer film deposited in each cycle is constant, the etching behavior changed, which is likely related to a change in the plasma gas phase chemistry. We found that the main gas phase changes occur after C 4 F 8 injection. The C 4 F 8 and the quartz window react and generate SiF and CO. The emission intensity changes with wall surface state and temperature. Therefore, changes in the plasma gas species generation can lead to a shift in etching performance during processing. During initial cycles, minimal etching is observed, while etching gradually increases with cycle number. I. INTRODUCTION Following the trajectory of Moore s Law, semiconductor manufacturing is increasingly demanding atomic-scale process control to further decrease critical 2

3 dimensions. 1 High precision etching and material selectivity are indispensable. 2,3 In addition, device structures have become more complex, adding to the challenge of shrinking dimensions. 4 6 Atomic layer deposition was developed as early as 1977 by Suntola and Antson 7 and has been established as a common tool in device patterning However, the development of a corresponding atomic layer etching (ALE) method is still only beginning to enter production. 12 One of the key challenges for ALE is to overcome the long processing time that many approaches require, leading to an overall low wafer throughput. 13 However, ALE is expected to lower substrate damage and increase pattern fidelity. 14,15 Low-pressure, high-density fluorocarbon plasma processes, however, have been found to suffer from process drifts, as seen by a time dependent behavior of the etch rate. 17 At low processing pressures, plasma wall interactions are of significant importance in determining the discharge chemistry. Process drifts have been attributed to changes in the reactor wall conditions In previous work, although the thickness of the polymer film deposited in each cycle was constant, the etching behavior showed changes. Minimum etching was observed during initial cycles and the etching increased with cycle number, possibly related to changes in chamber wall interactions. 16 Chamber wall interactions may be crucial to the stability of such ALE processes. 3

4 In this article, the effect of the chamber wall conditions on the stability of ALE process performance is reported. Etching characteristics, wall surface condition dependence, wall temperature dependence, and plasma gas phase chemistry mechanisms are studied. Of special interest is the etching behavior during initial cycles. II. EXPERIMENTAL We used an inductively coupled plasma system excited at MHz. The plasma was confined within a 195-mm-diameter anodized Al confinement ring. A 125-mmdiameter Si substrate is located 150 mm below the top electrode on an electrostatic chuck and can be biased at 3.7 MHz. The base pressure achieved before processing was in the Torr range and the temperature of the samples (25 25 mm 2 ) was stabilized by substrate cooling (at 10 C) during plasma processing. The details of the plasma system have been described previously The materials used were 100 nm SiO 2 on Si substrate and were studied using in-situ ellipsometry 23 in real time. The plasma gas-phase chemistry was characterized using optical emission spectroscopy. The temperature of the quartz coupling window was measured with an infrared temperature sensor using a detection wavelength from 8 to 14 μm. Figure 1 shows the schematic of the experimental sequence for evaluating the chamber wall surface state. All plasma processes presented 4

5 here are at a source power of 200 W and a processing pressure of 10 mtorr with an Ar flow of 50 sccm. At the beginning of each cycle, a pulse of C 4 F 8 was injected for 1.6 s into continuous Ar plasma, and about 5 Å of fluorocarbon film is deposited. Twelve seconds after the C 4 F 8 pulse injection, a synchronized RF bias potential is applied to the substrate for 40 s to increase Ar + ion bombardment energies. A RF self-bias potential of 10 V creates maximum ion energies of about 25 ev. An empty pulse (no fluorocarbon gas injection) as a reference at every 4 th cycle is used to obtain information on the chamber contribution to deposition and etching at that time. To evaluate the effect of wall surface state and the reactor temperature, four different experimental conditions were used: the clean condition, with film condition, the cold condition, and the hot condition. The clean condition refers to a previously cleaned chamber by O 2 plasma. The with film condition refers to the walls covered with polymer film after one ALE process. The cold condition refers to the chamber being at room temperature at the start of the experiment. The hot condition refers to the chamber being heated to the saturated maximum temperature during processing. The conditions combining the wall condition and temperature condition for the experiment are as follows: (a) cold/clean; (b) cold/ with film ; (c) hot/clean and (d) hot/ with film. 5

6 FIG. 1. (Color online) Schematic of the experimental sequence for impact evaluation of the chamber condition. One ALE cycle includes a 12 s unbiased deposition step and a 40 s 25 ev ion bombardment etching step. A 1.6 s C 4 F 8 injection step was at the beginning of the deposition step for each injection cycle. After every three injections, one cycle without injecting C 4 F 8 was run to evaluate the chamber condition. III. RESULTS AND DISCUSSION The time dependence of the temperature of the quartz coupling window was measured by an infrared temperature sensor. The resulting data for the four conditions are plotted in Fig. 2. The temperatures for the cold/clean condition and the cold/ with film condition have a similar trend. The temperatures for the hot/clean condition and the hot/ with film condition were stable at 40 C during processing. The quartz coupling window temperature increased with increasing processing time for both cold conditions. However, the quartz coupling window temperature was constant after prior plasma based 6

7 heating. This is because the quartz coupling window was preheated up to the saturated temperature. FIG. 2 (Color online) Quartz temperature during processing for the four tested conditions. Figure 3 shows an example of measured CO (297 nm) and SiF (440 nm) optical emission intensities along with deposited FC film and etched SiO 2 film thickness for a cold/clean experiment. CO and SiF emission peaks were defined as the maximum intensity increase in one cycle. The deposition and etching thickness are defined as the thickness difference between the beginning and the end of a deposition step and between the beginning of a deposition step and the end of an etch step in each cycle. SiF and CO emission peaks appear immediately after C 4 F 8 injection. However, these peaks do not appear when no precursor is injected, indicating that after precursor injection, fluorocarbon reacts with the quartz window and generates SiF and CO. Additionally, the 7

8 impact of the chamber wall (and the quartz window) state could be evaluated in the no gas injection cycle. The deposited thickness without precursor injection shows redeposition of material (fluorocarbon) sputtered from the chamber wall. FIG.3. (Color online) An example of the CO and SiF optical emission intensity and thickness in the cold/clean condition. CO, SiF emission peaks are defined as the maximum intensity increase in one cycle. The deposition and etching thickness are defined as the thickness difference between the beginning and the end of a deposition and between the end of an etch step and the beginning of a deposition step in each cycle. 8

9 The bar chart in Fig. 4 shows the results of deposition and etching experiments for the four tested conditions. The thicknesses for cycles with and without injection are shown separately in the upper and lower part of each figure. Dotted lines highlight the initial cycles for each condition. FIG.4. (Color online) Deposition and etching thickness of four different conditions: (a) cold/clean condition; (b) cold/ with film condition; (c) hot/clean condition; and (d) hot/ with film condition. The thicknesses in the cycles with and without injection are shown separately in the upper and lower part of each figure. 9

10 Comparing the initial and the later cycles in the cold/clean condition [Fig. 4 (a)], initial cycles show minimum etching thickness in the injection cycles. A lower deposition than in the later cycles is seen in the no gas injection cycles. The hot/clean condition shows the same trend [Fig. 4 (c)].when comparing the initial cycles of the cold/clean condition and of the cold/ with film condition [Fig. 4 (a) vs. (b)], the cold/clean condition has a lower etching thickness than the cold/ with film condition in the injection cycles. Comparing initial no gas injection cycles, clean conditions show less deposition than with film condition for cold temperatures. The initial cycles of the hot/clean condition compared with the hot/ with film condition show the same trend [Fig. 4 (c) vs. (d)]. The amount of FC deposited during the no gas injection cycles provides a measure of the contribution of FC film coating on the chamber walls. In Fig. 4, the arrows indicate the change in deposition thickness during processing for cycles with no gas injection in each condition. The deposited FC film thickness increases with increasing number of cycles for the clean condition; however, there is no or minimal increase for the with film condition. The bar chart in Fig. 5 shows the results of SiF and CO optical emission peak intensities normalized to Ar (419nm) in gas injection cycles for the four tested conditions in order to confirm a relationship between wall condition and gas reaction. The dotted lines highlight the initial cycles for each condition 10

11 and arrow marks show the evolution of the emission peak during processing for each condition. The initial cycles of both clean conditions show higher SiF and CO emission intensity than the initial cycles of both with film conditions. During processing under the clean conditions, the emission peaks of SiF and CO decrease. However, for with film conditions there was no or minimal decrease in emission peak intensities. FIG.5. (Color online) SiF and CO optical emission peak intensities normalized to Ar with injection cycles for four conditions: (a) cold/clean condition; (b) cold/ with film condition; (c) hot/clean condition; and (d) hot/ with film condition. These trends are indicated with arrows in Fig. 5. This result is consistent with the assumption that the deposited FC film thickness measured for the no injection cycles is 11

12 an indication of FC wall coverage. For the clean wall condition, C 4 F 8 reacts with the quartz window and generates SiF and CO after injection. When the surface of the quartz coupling window is covered by a fluorocarbon film, the overall amounts of CO and SiF decreased, which enables greater substrate etching. Therefore, minimum etching occurs at the beginning of the clean condition. We conclude that fluorocarbon film on the quartz window can reduce CO and SiF generation and the fluorocarbon accumulation in the chamber leads to a shift in etching. We confirmed that CO and SiF have the same trend, which shows a quartz window and injection gas reaction. With a clean condition, minimal etching is observed because of a lack of film on the quartz window. When comparing the cold/clean condition and the hot/clean condition of the initial cycles, the hot/clean condition results in a lower SiO 2 etching thickness than the cold/clean condition during the injection cycles (see Fig. 4). When comparing the cold/clean condition and the hot/clean condition of the initial cycles, the hot/clean condition has a higher normalized intensity of SiF and CO than the clean/cold condition in the injection cycles as shown in Fig. 5. The etching during injection cycles and the emission intensities have an opposite trend. A higher quartz temperature accelerates the precursor-window reaction and generates a higher amount of SiF and CO. There is less SiO 2 etching at the beginning of the hot/clean condition than in the cold/clean condition. 12

13 A hot quartz window can increase CO and SiF generation and less etching is initially observed because of a greater reaction at the quartz window. Table 1 shows a summary of Fig. 4. Table 1: Summary of Fig. 4. Cycle (a) cold/clean (b) cold/"with film" minimal etching is observed Injection etching is observed in initial cycle in initial cycle increase in FC deposition no increase in FC deposition from No Injection from chamber wall chamber wall Cycle (c) hot/clean (d) hot/"with film" Injection No Injection less etching is observed in initial cycle increase in FC deposition from chamber wall etching is observed in initial cycle minimal increase in FC deposition from chamber wall From the results of this study we conclude that one key requirement for achieving stable ALE processes in a plasma reactor is the need for more precise chamber wall chemical state and chamber temperature stability. Ideally, chamber wall state (FC composition and/or coverage) and temperature need to be same at the beginning of each ALE cycle during one or multiple ALE processes in order to achieve stable and reproducible etching control. 13

14 IV. SUMMARY AND CONCLUSIONS We studied the effect of the quartz coupling window temperature and chamber wall chemical state on process performance for atomic layer etching of SiO 2 using a steady-state Ar plasma, periodic injection of a defined number of C 4 F 8 molecules, and synchronized plasma-based Ar+ ion bombardment. We found that C 4 F 8 and the quartz window react and generate SiF and CO emission after C 4 F 8 injection. The emission intensity changes with the wall surface state and temperature. This leads to a shift in etching performance during processing. The fluorocarbon film on the quartz window can reduce CO and SiF generation and the fluorocarbon accumulation in the chamber leads to a shift in etching. With a clean condition, there was minimal etching because there was a lack of film in the quartz window. A hot quartz window can increase CO and SiF generation and causes less etching during initial process cycles because of a greater quartz window reaction. In this study, we focused on etching behavior during initial cycles. To decide optimum conditions, further investigation is needed. In future work, we will investigate chamber wall effect in each later cycle. The process chamber material and plasma chemistry investigated is commonly used in conventional etch processes, however, we will evaluate other materials and chemistries in future work, for instance a ceramic window alternatively to a quartz window, and CHF 3 alternatively to C 4 F 8. 14

15 ACKNOWLEDGMENTS The authors gratefully acknowledge the financial support of this work by the National Science Foundation (CBET ), the U.S. Department of Energy (DE-SC ), and Hitachi High-Technologies Corporation. The authors also thank Dr. E. Bartis, A. Knoll, P. Luan, and A. Pranda for contributions to this project. 1 G. E. Moore, Proc. IEEE 86, 82 (1998). 2 C. G. N. Lee, K. J. Kanarik, and R. A. Gottscho, J. Phys. D Appl. Phys.47, (2014). 3 V. M. Donnelly and A. Kornblit, J. Vac. Sci. Technol. A 31, (2013) 4 K. J. Kanarik, G. Kamarthy, and R. A. Gottscho, Solid State Technol. 55, 15 (2012). 5 M. Guillorn et al., International Electronic Devices Meeting (2009), pp S. Bangsaruntip et al., International Electronic Devices Meeting (2009),pp T. Suntola and J. Antson, U.S. patent 4,058,430 A (14 November 1977). 8 S. M. George, Chem. Rev. 110, 111 (2010). 9.R. L. Puurunen, J. Appl. Phys. 97, (2005). 10 M. Leskelä and M. Ritala, Angew. Chem. Int. Ed. 42, 5548 (2003). 15

16 11 M. Leskelä and M. Ritala, Thin Solid Films 409, 138 (2002). 12 K. J. Kanarik, S. Tan, J. Holland, A. Eppler, V. Vahedi, J. Marks, and R.A. Gottscho, Solid State Technol. 56, 14 (2013). 13 A. Agarwal and M. J. Kushner, J. Vac. Sci. Technol. A 27, 37 (2009). 14 G. S. Oehrlein, D. Metzler, and C. Li, ECS J. Solid State Sci. Technol. 4,N5041 (2015). 15 K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, and R. A. Gottscho, J. Vac. Sci. Technol. A 33, (2015). 16 D. Metzler, R. Bruce, S. Engelmann, E. A. Joseph, and G. S. Oehrlein, J. Vac. Sci. Technol. A 32, (2014). 17 M. F. Doemling, N. R. Rueger, J. M. Cook, and G. S. Oehrlein, J. Vac.Sci. Technol. B16, 1998 (1998). 18 S. C. McNevin, K. V. Guinn, and J. Ashley Taylor, J. Vac. Sci. Technol.B 15, 214 (1997). 19 J. A. O Neill and J. Singh, J. Appl. Phys. 77, 497 (1995). 20 M. Schaepkens, R. C. M. Bosch, T. E. F. M. Standaert, G. S. Oehrlein and J. M. Cook J. Vac.Sci. Technol. A16, 2099 (1998). 21 S. Engelmann et al., J. Vac. Sci. Technol. B 25, 1353 (2007). 16

17 22 T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, and T. J.Dalton, J. Vac. Sci. Technol. A 17, 741 (1999). 23 H. G. Tompkins, A User s Guide To Ellipsometry (Dover, Mineola, 1993). 17

18 Table: Table 1: Summary of Fig4. Cycle (a) cold/clean (b) cold/"with film" Injection No Injection minimal etching is observed in initial cycle increase in FC deposition from chamber wall etching is observed in initial cycle no increase in FC deposition from chamber wall Cycle (c) hot/clean (d) hot/"with film" Injection No Injection less etching is observed in initial cycle increase in FC deposition from chamber wall etching is observed in initial cycle minimal increase in FC deposition from chamber wall 18

19 Figure captions FIG. 1. (Color online) Schematic of the experimental sequence for impact evaluation of the chamber condition. One ALE cycle includes a 12 s unbiased deposition step and a 40 s 25 ev ion bombardment etching step. A 1.6 s C 4 F 8 injection step was at the beginning of the deposition step for each injection cycle. After every three injections, one cycle without injecting C 4 F 8 was run to evaluate the chamber condition. FIG. 2 (Color online) Quartz temperature during processing for the four tested conditions. FIG.3. (Color online) An example of the CO and SiF optical emission intensity and thickness in the cold/clean condition. CO, SiF emission peaks are defined as the maximum intensity increase in one cycle. The deposition and etching thickness are defined as the thickness difference between the beginning and the end of a deposition and between the end of an etch step and the beginning of a deposition step in each cycle. FIG.4. (Color online) Deposition and etching thickness of four different conditions: (a) cold/clean condition; (b) cold/ with film condition; (c) hot/clean condition; and (d) hot/ with film condition. The thicknesses in the cycles with and without injection are shown separately in the upper and lower part of each figure. FIG.5. (Color online) SiF and CO optical emission peak intensities normalized to Ar with injection cycles for four conditions: (a) cold/clean condition; (b) cold/ with film condition; (c) hot/clean condition; and (d) hot/ with film condition. 19

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions N. Fox-Lyon, G.S. Oehrlein Department of Material Science and Engineering, Department of Physics, and Institute for Research

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion N. Fox-Lyon, F. Weilnboeck, G.S. Oehrlein Department of Material Science and Engineering, Department

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 A Working Electron Impact Cross Section Set for CHF 3 Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 Abstract Trifluoromethane, CHF 3, is used for plasma etching

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS ABSTRACT Title: COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS Bryan J. Orf, Masters of Science, 2006 Directed By: Professor Gottlieb S. Oehrlein, Department of Material Science and

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma R. L. Bruce, a T. Lin, R. J. Phaneuf, and G. S. Oehrlein b Department of Material Science and Engineering and

More information

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES Romanian Reports in Physics, Vol. 57, No. 3, P. 390-395, 2005 CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES C. PETCU, B. MITU, G. DINESCU National Institute for Lasers,

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 31, NO. 4, AUGUST 2003 703 Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects Hye Sang Park, Sung Jin Kim,

More information

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston 1 Outline Introduction PIC-MCC simulation of tailored bias on boundary electrode Semi-analytic model Comparison

More information

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Qiaowei Lou, Sanbir Kaler, Vincent M. Donnelly, a) and Demetre J. Economou b) Plasma Processing

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

Shapes of agglomerates in plasma etching reactors

Shapes of agglomerates in plasma etching reactors Shapes of agglomerates in plasma etching reactors Fred Y. Huang a) and Mark J. Kushner b) University of Illinois, Department of Electrical and Computer Engineering, 1406 West Green Street, Urbana, Illinois

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Keywords. 1=magnetron sputtering, 2= rotatable cathodes, 3=substrate temperature, 4=anode. Abstract

Keywords. 1=magnetron sputtering, 2= rotatable cathodes, 3=substrate temperature, 4=anode. Abstract Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets. F. Papa*, V. Bellido-Gonzalez**, Alex Azzopardi**, Dr. Dermot Monaghan**, *Gencoa Technical & Business Support in US, Davis,

More information

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions Graves Lab Department of Chemical and Biomolecular Engineering University of California, Berkeley Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions N. Ning, and D. B. Graves Department of

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch Jpn. J. Appl. Phys. Vol. 42 (23) pp. 5759 5764 Part 1, No. 9A, September 23 #23 The Japan Society of Applied Physics Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

More information

ABSTRACT. Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013

ABSTRACT. Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013 ABSTRACT Title of Document: PLASMA-SURFACE INTERACTIONS DURING REACTIVE PLASMA PROCESSING OF HYDROCARBON FILMS Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013 Directed By: Professor Gottlieb S. Oehrlein

More information

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF Revised J. Chem. Phys. Manuscript No. AMLP16.08.0222 December 5, 2016 Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum

More information

Study of DC Cylindrical Magnetron by Langmuir Probe

Study of DC Cylindrical Magnetron by Langmuir Probe WDS'2 Proceedings of Contributed Papers, Part II, 76 8, 22. ISBN 978-737825 MATFYZPRESS Study of DC Cylindrical Magnetron by Langmuir Probe A. Kolpaková, P. Kudrna, and M. Tichý Charles University Prague,

More information

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Defect management and control Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Background Case study: A maintainer has good hands Only he achieved good yield for every maintenance But... He could

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES* 25th IEEE International Conference on Plasma Science Raleigh, North Carolina June 1-4, 1998 SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(,n) MODES* Ron L. Kinder and Mark J.

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 2015 Supplementary Information Vertical Heterostructures of MoS2 and Graphene Nanoribbons

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION

OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION P. MUKHERJEE, P. SAKTHIVEL AND S. WITANACHCHI Department of Physics, University of South Florida, Tampa, FL 33620,

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research

PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research Laboratories, Industrial Technology Research Institute,

More information

Relationship between production and extraction of D - /H - negative ions in a volume negative ion source

Relationship between production and extraction of D - /H - negative ions in a volume negative ion source J. Plasma Fusion Res. SERIES, Vol. 8 (2009) Relationship between production and extraction of D - /H - negative ions in a volume negative ion source Takahiro Nakano, Shigefumi Mori, Yasushi Tauchi, Wataru

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen HAN Qing ( ), WANG Jing ( ), ZHANG Lianzhu ( ) College of Physics Science and Information Engineering, Hebei Normal University,

More information

Angular anisotropy of electron energy distributions in inductively coupled plasmas

Angular anisotropy of electron energy distributions in inductively coupled plasmas JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Angular anisotropy of electron energy distributions in inductively coupled plasmas Alex V. Vasenkov a) and Mark J. Kushner b) Department of

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Low-field helicon discharges

Low-field helicon discharges Plasma Phys. Control. Fusion 39 (1997) A411 A420. Printed in the UK PII: S0741-3335(97)80958-X Low-field helicon discharges F F Chen, X Jiang, J D Evans, G Tynan and D Arnush University of California,

More information