Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Size: px
Start display at page:

Download "Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X"

Transcription

1 Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1-

2 Contents - Introduction - Motivation - Plasma monitoring tool HERCULES - Al etch on LAM TCP 96 SE - Contact etch on Applied Materials Centura MxP+ - Summary -2-

3 Our way of plasma processing today an effective way? Process parameters power pressure B field gas flow... Black Box called plasma processing Process results etch rate uniformity selectivity particles... - Experience and statistical methods in process development - Process Monitoring and Tool control by test wafers -3-

4 Measuring Techniques for real time Plasma Monitoring rf probe rf voltage rf current power Process parameters external power pressure B field gas flow body temp. Ion flux probe j+ (wall) Process parameter rf voltage (wafer) rf current bias voltage effective power Chamber parameters surface temp. polymer e.g. gas ad / desorption depending on ion current We begin to measure! Plasma excitation Power balance and potential distribution electron collision rate, electron energy distribution electron density plasma potential bulk power Hercules ion density ion temperature neutral densities neutral temp. excitations Wafer Surface ion energy ion current radiation neutral flows (radicals) surface temp. layer thickness OES k*i(λ ) Process Results external measured etch rate uniformity selectivity particles Interferometry Reflectence spectroscopy layer thickness ne, ν e, PBulk Species in the volume -4-

5 Basic HERCULES Model High Frequency Electron Resonance Current Low Pressure Spectroscopy -5-

6 Principle and experimental setup rf current rf voltage FFT Algorithm Model SEERS Electron collision rate Electron density Bulk power DC bias voltage - Passive electrical method, no influence on the plasma - Integral measurement -6-

7 SEERS provides reciprocally averaged parameters Self Excited Electron Resonance Spectroscopy --

8 HERCULES Sensor Types Surface: anodized aluminum, similar to chamber wall -8-

9 TCP: Al etch - trend analysis main etch - Cl2 - MFC failure - Cleans Al etching - trend analysis main etch - LAM TCP quick clean Cl2-MFC error 2.1 main clean Lot No collsion rate [1/s] optical emission (EP) *3 etch time [s] 12 electron density [1/cm3] Cl2-MFC drift/error Joint project Siemens - ASI - Lam -9-

10 TCP: Al etch - trend analysis barrier etch - Cl2 - MFC failure - Cleans etch time [s] electron density [1/cm3] main clean Quick clean Cl2-MFC drift/error Cl2-MFC error Lot No collsion rate [1/s] optical emission (EP) *5 Al etching - trend analysis barrier etch - LAM TCP Joint project Siemens - ASI - Lam - 1 -

11 TCP: Al etch in Cl - first wafer effect Al etching in Cl - first wafer effect2- LAM TCP 96 2 Product wafer - resist mask on Al (appr. 5%) electron density [1/cm 3 ] 8.19 main etch.19 first wafer second 4.19 third wafer First wafer effect in main etch Longer etch time process time [s]

12 TCP: Al etch - with / without barrier (TiN,Ti) Al etching - with/without barrier (TiN, Ti) - LAM TCP 96 Ti layer detected each curve averaged from five testwafers 5.1 break through (Al2O3) collision rate [1/s] Ti layer nm AlSiCu 1 SiO2 with TiN (1 nm), Ti (15 nm) process time [s] Joint project Siemens - ASI - Lam

13 Electron Collision Rate vs. Pressure Bulk Power vs. rf Power -1 3 Coll. Rate [1s ] B. Power [mw / cm²] MxP+: CT etch: Plasma parameters dependíng on process parameters BPSG Structure BPSG Structure 5 12 RF Power [W] Pressure [mtorr] Electron Collision Rate vs. CF4 flow -1 Coll. Rate [1 s ] 9.8 Change of process chemistry strong nonlinear correlation BPSG.6 Structure CF4 flow [sccm]

14 MxP+: CT etch - Etch rate BPSG (blanket) depending on plasma parameters Etch Rate and Uniformity BPSG vs. Bulk Power Etch Rate BPSG vs. Electron Collision Rate 3 5 Etch Rate [nm / min] 6 Uniformity [%] Etch Rate [nm / min] Pressure increasing RF Power increasing Electron Collision Rate [1 s ] Bulk Power [mw / cm ²] Etch Rate vs. Electron Density Obvious correlations between etch rate and Etch Rate [nm / min] CF4 flow decreasing Electron Density [ electron collision rate electron density bulk power / cm ³]

15 MxP+: CT etch - Contact angle depending on plasma parameters Contact Angle vs. Electron Collision Rate Cont. Angle vs. Electron Density 9 CF4 flow decreasing Angle [ ] Angle [ ] Pressure increasing Electron Density [ / cm ³] Electron Collision Rate [ s ] Cont. Angle vs. Electron Density Change of process chemistry no obvious correlation between electron density and contact angle Angle[ ] CHF3 flow decreasing Electron Density [ / cm ³]

16 MxP+: Chamber monitoring of contact etch processes on product wafers Process mix in Applied Materials Centura MxP+ chamber: Oxide and Nitride etch with CF4 / CHF3 / Ar / O2 chemistry Process 1 Process 3 Process 2 Descum N2 / O Step 1 BPSG BPSG Oxide Step 2 --Nitride

17 MxP+: CT etch - Chamber monitoring of product wafers: electron collision rate Electron Collision Rate vs. RF Hour Electron collision rate Collision Rate [1 s -1] 12, 11, - decreases with rf hours - very sensitive to etch chemistry Pr1 Pr2! Pr1 BPSG Pr2 BPSG Pr2 Nitride Pr3 Oxide 1, 9, 8, RF Hour [h] One point one wafer

18 MxP+: CT etch - Chamber monitoring on product wafers: electron density Electron Density vs. RF Hour Electron Density [1 8 cm-3] 12,5 Pr1 BPSG Pr2 BPSG Pr2 Nitride Pr3 Oxide 11,5 Electron density - decreases with rf hours slightly - sensitive to etch chemistry 1,5 9,5 8,5, RF Hour [h] One point one wafer

19 MxP+: CT etch - Chamber monitoring on product wafers: bulk power Bulk power Bulk Power vs. RF Hour Pr1 BPSG Pr2 BPSG Pr2 Nitride Pr3 Oxide Bulk Power [mw/cm 2] RF Hour [h] decreases with rf hours - very sensitive to power input - nearly not sensitive to etch chemistry One point one wafer

20 MxP+: CT etch - Chamber monitoring on blanket BPSG wafers Uniformity vs. Electron Collision Rate Electron Collision Rate vs. RF Hours Uniformity [%] -1 Collision Rate [1 s ] ER BPSG Kond PARPL Uniformity vs. rf hours Electron Collision Rate [1 s ] 12 RF Hour [h] - Electron collision rate correlates with uniformity. 9 Uniformity [ ] Electron density and bulk power too rf hours [h] - 2 -

21 MxP+: Conditioning after wet clean Bulk Power vs. rf hours 2 B. Power [mw/cm ] Coll. Rate [1-1 s ] Electron Collision Rate vs. rf hours 9 8 Resist REM SS Resist 2 REM SS rf hours [h] 112 rf hours [h] Electron Density vs. rf hours 1.5 Density [1 8 / cm³] Wetclean 9.5 Resist ER BPSG Stable chamber conditions after about 1 wafers rf hours [h]

22 MxP+: CT etch - short term chamber drift depending on idle time Electrical failure counts at Contact etch Electron Collision Rate vs. Wafer Number min 45 min 5h Bad chamber 9,9 9, 9, Wafer stnuoc eruliaf Collision Rate [1 s -1] Idle time 1,1 Wafer - Collision rate shows dependence on chamber idle time. - Constant chamber conditions after about 4 min. - Change in electron collision rate corresponds to change in electrical failure counts

23 MxP+: CT etch - short term chamber drift Electrical failure counts at Contact etch Electron Density vs. Wafer stnuoc eruliaf 8 Electron Density [1 / cm³] 12,4 12,2 12, 11,8 11,6 Wafer Wafer Electron density and failure counts increase by wafer number. - One of four chambers causes high failure counts

24 emxp+: Arcing detection Electron collision rate vs. time Electron collision rate (mean) -1 s ] 3 Wafer collision rate [1 collision rate [1 s -1 ] wafer time [s] Arcing between e - chuck and wafer

25 Summary - Al etch in LAM TCP 96 SE, oxide and nitride etch in Applied Materials Centura MxP+ have been monitored with HERCULES. - The measured parameters depend significantly on chamber conditions and etch results. - The measured parameters are absolute values. - No difficult modeling by the user is necessary, results are immediate

26 Applications of the tool - Development and optimizing processes Long and short term tool stability Tool matching Control of chamber cleaning Control of power coupling into plasma Endpoint detection Layer resolution Spatial resolution Reduction of test- and monitor wafers Detection of tool failure Arcing detection yes yes yes yes yes possible possible no yes yes yes

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Technology Improvement and Fault Detection @ TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Russell Benson, Micron Daniel Steckert, Micron Lutz Eichhorn, Plasmetrex Michael Klick, Plasmetrex

More information

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) *Kye Hyun Baek, Gopyo Lee, Yong Woo Lee, Gyung-Jin Min, Changjin Kang, Han-Ku

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Investigations on two different plasma tools by SEERS, OES and electrical measurements

Investigations on two different plasma tools by SEERS, OES and electrical measurements Investigations on two different plasma tools by SEERS, OES and electrical measurements Jan Konrad Bauer TU Dresden Institut für Halbleiter- und Mikrosystemtechnik Page 1 Das diesem Bericht zugrundeliegende

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma

SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma Rolf-Dieter Schulze, Jörg F. Friedrich, Bundesanstalt für Materialforschung und -prüfung Berlin * Introduction - pulsed r.f.-plasma

More information

Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP

Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP Page 1 Das diesem Bericht zugrundeliegende Vorhaben wurde

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl 2 : A Multiple Real- Time Sensors Study

Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl 2 : A Multiple Real- Time Sensors Study Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl : A Multiple Real- Time Sensors Study Fred L. Terry, Jr. Dept of EECS University of Michigan +1-734-763-9764 +1-734-763-934 (fax) fredty@umich.edu

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

NNCI Dry Etch Capabilities

NNCI Dry Etch Capabilities NNCI Site Tool Type Gases Application Wafer size Cornell Plasmatherm SF6, C4F8, O2, Ar Deep silicon etch 100mm Versaline ICP Deep Ge etch DSEIII SOI Cornell Unaxis 770 ICP SF6, C4F8, O2, Ar Deep silicon

More information

Plasma Chamber. Fortgeschrittenes Praktikum I. Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot. Abstract

Plasma Chamber. Fortgeschrittenes Praktikum I. Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot. Abstract Plasma Chamber Fortgeschrittenes Praktikum I Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot Abstract The aims of this experiment are to be familiar with a vacuum chamber, to understand what

More information

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Defect management and control Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Background Case study: A maintainer has good hands Only he achieved good yield for every maintenance But... He could

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Plasma Etch Tool Gap Distance DOE Final Report

Plasma Etch Tool Gap Distance DOE Final Report Plasma Etch Tool Gap Distance DOE Final Report IEE 572 Doug Purvis Mei Lee Gallagher 12/4/00 Page 1 of 10 Protocol Purpose: To establish new Power, Pressure, and Gas Ratio setpoints that are acceptable

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C. Etching Etching Terminology Etching Considerations or ICs Wet Etching Reactie Ion Etching (plasma etching) 1 Etch Process - Figures o Merit Etch rate Etch rate uniormity Selectiity Anisotropy 2 (1) Bias

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

Tutorial on Plasma Polymerization Deposition of Functionalized Films

Tutorial on Plasma Polymerization Deposition of Functionalized Films Tutorial on Plasma Polymerization Deposition of Functionalized Films A. Michelmore, D.A. Steele, J.D. Whittle, J.W. Bradley, R.D. Short University of South Australia Based upon review article RSC Advances,

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Auger Electron Spectroscopy

Auger Electron Spectroscopy Auger Electron Spectroscopy Auger Electron Spectroscopy is an analytical technique that provides compositional information on the top few monolayers of material. Detect all elements above He Detection

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Outline. ECE 477 Digital Systems Senior Design Project. Module 7 Capacitor Selection Guidelines. Film Ceramic Electrolytic Miscellaneous

Outline. ECE 477 Digital Systems Senior Design Project. Module 7 Capacitor Selection Guidelines. Film Ceramic Electrolytic Miscellaneous Module 7: Capacitor Selection Guidelines Lecture Workbook - Page 7-1 2004 by D. G. Meyer ECE 477 Digital Systems Senior Design Project Module 7 Capacitor Selection Guidelines Outline Film Ceramic Electrolytic

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS ABSTRACT Title: COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS Bryan J. Orf, Masters of Science, 2006 Directed By: Professor Gottlieb S. Oehrlein, Department of Material Science and

More information

Hiden EQP Applications

Hiden EQP Applications Hiden EQP Applications Mass/Energy Analyser for Plasma Diagnostics and Characterisation EQP Overview The Hiden EQP System is an advanced plasma diagnostic tool with combined high transmission ion energy

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Diagnósticos em Plasmas

Diagnósticos em Plasmas Tecnologia a Plasma para o Processamento de Materiais Diagnósticos em Plasmas Diagnósticos Ópticos João Santos Sousa, nº50901 Semestre Inverno 2004/2005 21 de Janeiro de 2005, 9h-10h, sala F8 Contents

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research

PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research PROCESS MONITORING OF PLASMA ELECTROLYTIC OXIDATION J.-W. Liaw, C.-C. Hsiao, Clinton Fong, Y.-L. Tsai, S.-C. Chung, Oleg Demin Materials Research Laboratories, Industrial Technology Research Institute,

More information

Surface and Interface Characterization of Polymer Films

Surface and Interface Characterization of Polymer Films Surface and Interface Characterization of Polymer Films Jeff Shallenberger, Evans Analytical Group 104 Windsor Center Dr., East Windsor NJ Copyright 2013 Evans Analytical Group Outline Introduction to

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 5 1 SEPTEMBER 2000 Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities M. V. Malyshev, a) N. C. M. Fuller, b) K. H. A.

More information

Exploration COMSOL in Modeling RLSA TM CVD Processes

Exploration COMSOL in Modeling RLSA TM CVD Processes Exploration COMSOL in Modeling RLSA TM CVD Processes Ar+H 2 +SiH 4 +C 2 H 6 and Dopant Gas Jozef Brcka 1 *, Sundar Gandhi 2, Raymond Joe 2 1 Tokyo Electron U.S. Holdings, Inc., U.S. Technology Development

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information