MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Size: px
Start display at page:

Download "MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*"

Transcription

1 MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana, IL 61801, USA b) Department of Electrical and Computer Engineering, Ames, IA 50011, USA 53 rd AVS Symposium, November 2006 *Work supported by the SRC and NSF

2 AGENDA Seasoning of plasma reactors Approach and Methodology Hybrid Plasma Equipment Model Surface Chemistry Model Si etching in Ar/Cl 2 Effect of seasoning reactor walls on etch rates Sputtering of quartz window Concluding Remarks ANKUR_AVS06SS_Agenda

3 SEASONING OF PLASMA REACTORS Deposition on reactor walls during a process changes surface reactivity (e.g., seasoning) Ref: E.S. Aydil et al., JES 150, G418 (2003) Seasoning changes reactive fluxes to substrate. To control wafer-to-wafer variability: Clean the seasoned chamber following each wafer. Season the chamber prior to process step. ANKUR_AVS06SS_01 Ref: G. Cunge et al., PSST 14, S42 (2005)

4 SEASONING: CHALLENGES Understanding the role that chamber condition plays in manufacturing variability is important. Modeling Challenges: Energetic Etch products; products not thermalized Ion energy distributions are different on different surfaces of reactor. Reactivity of surface changes during a process as well as run-to-run. In this talk, seasoning of reactor will be computationally investigated while accounting for variation of IEDs and reactivity on all surfaces. ANKUR_AVS06SS_02

5 HYBRID PLASMA EQUIPMENT MODEL (HPEM) Electromagnetics Module: Antenna generated electric and magnetic fields Electron Energy Transport Module: Beam and bulk generated sources and transport coefficients. Fluid Kinetics Module: Electron and Heavy Particle Transport, Poisson s equation Plasma Chemistry MC Module: IEADs to surfaces Surface Chemistry Module: Surface coverage and reactive sticking coefficients. ANKUR_AVS06SS_03

6 SURFACE CHEMISTRY MODULE (SCM) Surface Chemistry Module (SCM) is an integrated module in HPEM to address surface reactions on substrate and walls of the reactor. The SCM: Uses the reactant fluxes from the plasma modules. Applies a user defined reaction mechanism Updates surface sticking and product reflection coefficients for the plasma species. Calculates surface coverages and etch rates. ANKUR_AVS06SS_04

7 SURFACE REACTION PROBABILITIES: IMPLEMENTATION Surface reaction probabilities are a function ion energies. Processes with threshold energies are extremely sensitive to details of IEADs: ε ave < ε th but rate could still be finite. Compute IEADs to all surfaces in reactor continuously during time evolution of process. Obtain surface probabilities vs position from convolution with p(x,ε) p( x) = f ions f ( ε, x) p( ε ) dε ions ( ε, x) dε ANKUR_AVS06SS_05

8 Si ETCHING IN Ar/Cl 2 : WAFER SURFACE MECHANISM Cl adsorbs on forming SiCl x passivation layer. Cl(g) + Si(s) SiCl(s), p=0.99 Cl(g) + SiCl n (s) SiCl n+1 (s) p=0.2 Ions etch passivation (for 200eV). Cl + (g) + SiCl(s) SiCl 2 (g), p=0.3 Cl + (g) + SiCl 3 (s) SiCl 4 (g), p=0.6 M + (g) + SiCl x (s) SiCl x (g) p=0.6 Etch products further passivates, creating etch blocks. SiCl 2 (g) + Si(s) Si 2 Cl 2 (s) p=0.3 SiCl 2 (g) + SiCl n (s) Si 2 Cl n+2 ANKUR_AVS06SS_06 p=

9 Si ETCHING IN Ar/Cl 2 : WALL SURFACE MECHANISM On chamber walls SiCl 2 (g) + W(s) SiCl 2 (s) p=0.2 SiCl 2 (g) + SiCl 2 (s) (no reaction) M + (g) + SiCl 2 (s) SiCl(s) + Cl(g) p=0.6 M + (g) + SiCl 2 (s) SiCl 2 (g) + W(s) p=0.6 Passivated walls effect reactivity of Cl. Cl(g) + W(s) Cl(s) p=0.1 Cl(g) + Cl(a) Cl 2 (g) + W(s) p=0.1 Cl(g) + SiCl 2 (s) (no reaction) ANKUR_AVS06SS_07

10 Si ETCHING IN Ar/Cl 2 Seasoning investigated for Si etch products in Ar/Cl 2. Base case conditions: Ar/Cl 2 = 90/10, 100 sccm 15 mtorr, 300 W 50 V bias at 5 MHz Silicon etching by chlorine is the source SiCl x. Transport of SiCl x results in deposition (and further sputter/etch) on other surfaces. ANKUR_AVS06SS_08

11 Si ETCHING IN Ar/Cl 2 : REACTANT FLUXES Dominant ions are Ar + and Cl + due to dissociation of Cl 2. Dominant neutrals are Cl, SiCl 2 and SiCl 4. SiCl 2 is potentially reactive with surfaces; SiCl 4 is not. Ar/Cl 2 =90/10, 100 sccm, 15 mtorr, 300 W, 50 V at 5 MHz. ANKUR_AVS06SS_09

12 Si ETCH: ION ENERGY ANGULAR DISTRIBUTIONS Ion energies on wafer are ev, elevated above applied voltage due to dc bias. Ion energies on other reactor walls peak at time averaged floating plasma potential (40 V). Reactivity of wafer and walls differ due to differences in threshold energies and IEDs Ar/Cl 2 = 90/10, 100 sccm, 15 mtorr, 300 W, 50 V at 5 MHz ANKUR_AVS06SS_10

13 SURFACE COVERAGES: WAFER Surface site coverages depend on bias voltage. Surface coverage of SiCl 2 and SiCl 3 decreases with increasing bias. More rapid removal of higher chlorinated sites. SiCl fraction increases as removal rate of higher chlorinated sites uncovers native Si. Ar/Cl 2 =90/10, 100 sccm, 15 mtorr, 300 W Surface coverages after 1 min. ANKUR_AVS06SS_11

14 SURFACE COVERAGES: REACTOR WALLS Surface coverage of walls depend on wafer surface kinetics. Low biases: Etch products not significant in the bulk plasma. Sites dominated by Cl(s). High biases: Large production of etch products produce higher SiCl and SiCl 2 surface coverage. Etch products surface coverage ultimately saturate with time at about Surface coverages after 1 min. Ar/Cl 2 =90/10, 100 sccm, 15 mtorr, 300 W ANKUR_AVS06SS_12

15 SEASONING EFFECT: ETCH RATE Si etch for 1 min for each wafer. Etch rate in seasoned chamber decreases. Passivation of walls by SiCl 2 decreases further reactivity of SiCl 2 increasing density in plasma. Wafer SiCl 2 passivates wafer SiCl x sites forming Si 2 Cl y etch blocks. SiCl 2 (g) + SiCl n (s) Si 2 Cl n+2 (s) Ions removes Si 2 Cl y with no net contribution to etch rate. Rate of change of etch rate decreases with number of wafers; chamber wall conditions stabilize. ANKUR_AVS06SS_13 Ar/Cl 2 =90/10, 100 sccm, 10 mtorr, 300 W, 75 V at 5 MHz

16 SEASONED CHAMBER ETCH RATE: VOLTAGE Si etch rates decrease in seasoned chamber. With additional wafers, etch rates stabilize as chamber reaches final state. Etch rate stabilizes sooner at higher voltages. Higher etch rates and more etch products season chamber faster. Larger ion energies remove overlying Si 2 Cl n more rapidly. In spite of lower reactivity of Cl on walls (and larger Cl in plasma), etch rates decrease due to site blockage. Ar/Cl 2 =90/10, 100 sccm, 10 mtorr, 300 W ANKUR_AVS06SS_14

17 Si ETCH: QUARTZ SPUTTER Capacitive coupling of coils was included to facilitate sputtering of O atoms from the quartz window. Base case conditions: Ar/Cl 2 = 90/10, 100 sccm 15 mtorr, 300 W 50 V substrate bias at 5 MHz The voltage at the turns was fixed at 300 V (turn 1) 400 V (2) 500 V (3) to emulate inductive voltage drop across coil. ANKUR_AVS06SS_15

18 QUARTZ SPUTTER: SURFACE MECHANISM Ion sputtering of top quartz window is the source of O in the plasma. M + (g) + Quartz(s) O(g) p=1.0 at 200 ev (ε th = 60 ev) O in bulk plasma may under go electron impact reactions (e.g., ionization). Surface reaction mechanism (any occurrence of Si x Cl y ) O(g) + Si x Cl y (s) SiOCl(s) p=1.0 M + (g) + Si x OCl y (s) Si x Cl y (s) + O(g) + M(g) p=1.0 at 200 ev (ε th = 60 ev) ANKUR_AVS06SS_16

19 IEADs: CAPACITIVE COUPLING Ion induced sputtering of O from quartz through capacitive coupling of coils. Total IEADs peaks are near the sputter threshold of quartz. O species adsorb on the wafer, forming Si x OCl y etch blocks. Energetic ion bombardment on the wafer may remove the etch block. Ar/Cl 2 =90/10, 100 sccm, 15 mtorr, 300 W, 50 V bias at 5 MHz ANKUR_AVS06SS_17

20 SiOCl PASSIVATION: WAFER and METAL WALL For t < 0, ICP only with capacitive coupling produces O atoms from quartz and SiOCl etch blocks on wafer. At t=0, bias is applied, removing SiOCl etch blocks and increasing density of etch products. O atoms adsorb on etch product passivated sidewalls as SiOCl. ANKUR_AVS06SS_18

21 QUARTZ SPUTTER: IMPACT ON ETCH RATE Capacitive coupling (O atom sputtering) reduces etch rates at low voltages. O atoms adsorb on wafer forming SiOCl (an etch block) which is not removed. Large bias voltages increases ion energies and sputters the etch block restoring higher etch rates. Pathway to decrease in etch rate O(g) + Si x Cl y (s) SiOCl(s) ANKUR_AVS06SS_19 p=1.0 M + (g) + SiOCl(s) SiCl(s) + O(g) p=1.0 at 200 ev (ε th = 60 ev) Ar/Cl 2 =90/10, 100 sccm, 15 mtorr, 300 W

22 CHANGE IN CAPACITIVE COUPLING The degree of capacitive coupling from the coils was reduced by moving coils above the dielectric window. Reduced capacitive coupling reduces ion energies onto the quartz (less sputtering). ANKUR_AVS06SS_20

23 CAPACITIVE COUPLING: ETCH RATE Reduction in capacitive coupling Lower ion energies Sputter O atoms density lower. O atom adsorption on wafer forming SiOCl are less rate-limiting. At higher voltages, the etch rate increases due to less energy absorbing O in the plasma. Ion flux increases Ar/Cl 2 =90/10, 100 sccm, 15 mtorr, 300 W ANKUR_AVS06SS_21

24 CONCLUDING REMARKS Chamber seasoning was investigated in Si etch using Ar/Cl 2 plasmas. IED integrated with Surface Chemistry Model to update wall recombination coefficients; change surface loss rates. Etch rates decreased in a seasoned chamber. Seasoned reactor increases SiCl 2 flux back to wafer. Feedback of etch products (SiCl 2 ) from the plasma form Si x Cl y etch blocks. Removal of Si x Cl y does not contribute to etch rate. Sputtering of quartz window is a source of O atoms. O atoms adsorb on wafer forming etch block. Reduction of etch rates at low biases At large bias, SiOCl removed. ANKUR_AVS06SS_22

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES* 51th Gaseous Electronics Conference & 4th International Conference on Reactive Plasmas Maui, Hawai i 19-23 October 1998 OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT *

SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT * SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT * JULINE_ICOPS09_01 Juline Shoeb a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering Iowa

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES* 25th IEEE International Conference on Plasma Science Raleigh, North Carolina June 1-4, 1998 SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(,n) MODES* Ron L. Kinder and Mark J.

More information

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* Junqing Lu and Mark J. Kushner Department of Electrical and Computer Engineering at Urbana-Champaign mjk@uiuc.edu, jqlu@uiuc.edu

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study

Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study Mark J. Kushner a) University of Illinois, Department of Electrical and Computer Engineering,

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1 ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1 Alex V. Vasenkov 2, and Mark J. Kushner Department of Electrical and Computer Engineering Urbana, IL

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Plasma Modeling with COMSOL Multiphysics

Plasma Modeling with COMSOL Multiphysics Plasma Modeling with COMSOL Multiphysics Copyright 2014 COMSOL. Any of the images, text, and equations here may be copied and modified for your own internal use. All trademarks are the property of their

More information

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS*

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* Kapil Rajaraman** and Mark J. Kushner*** **Department of Physics ***Department of Electrical and

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor Hao Deng, Z. Li, D. Levin, and L. Gochberg Department of Aerospace Engineering The Pennsylvania State

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources Multi-fluid Simulation Models for Inductively Coupled Plasma Sources Madhusudhan Kundrapu, Seth A. Veitzer, Peter H. Stoltz, Kristian R.C. Beckwith Tech-X Corporation, Boulder, CO, USA and Jonathan Smith

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

A3D Hybrid Model of ahelicon Source +

A3D Hybrid Model of ahelicon Source + A3D Hybrid Model of ahelicon Source + Eric R. Keiter* and Mark J. Kushner** Department of Electrical and Computer Engineering 146 W. Green St., Urbana, IL 6181 USA Http://uigelz.ece.uiuc.edu 1st Gaseous

More information

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES Michael A. Lieberman University of California, Berkeley lieber@eecs.berkeley.edu DOE Center on Annual Meeting May 2015 Download this talk: http://www.eecs.berkeley.edu/~lieber

More information

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

PLASMA processing (etching, deposition, cleaning) is one

PLASMA processing (etching, deposition, cleaning) is one 486 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 3, AUGUST 1998 Virtual Plasma Equipment Model: A Tool for Investigating Feedback Control in Plasma Processing Equipment Shahid Rauf, Member,

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES*

CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES* CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES* Kapil Rajaraman**, Alex Vasenkov*** and Mark J. Kushner*** **Department of Physics

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Lizhu Tong Keisoku Engineering System Co., Ltd., Japan September 18, 2014 Keisoku Engineering System Co., Ltd., 1-9-5 Uchikanda,

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

MODELING PLASMA PROCESSING DISCHARGES

MODELING PLASMA PROCESSING DISCHARGES MODELING PROCESSING DISCHARGES M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators: E. Kawamura, D.B. Graves, and A.J. Lichtenberg,

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

I. INTRODUCTION J. Vac. Sci. Technol. A 16 4, Jul/Aug /98/16 4 /2454/9/$ American Vacuum Society 2454

I. INTRODUCTION J. Vac. Sci. Technol. A 16 4, Jul/Aug /98/16 4 /2454/9/$ American Vacuum Society 2454 Consequences of three-dimensional physical and electromagnetic structures on dust particle trapping in high plasma density material processing discharges Helen H. Hwang, a) Eric R. Keiter, b) and Mark

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Control of Ion Energy Distributions on Plasma Electrodes

Control of Ion Energy Distributions on Plasma Electrodes Control of Ion Energy Distributions on Plasma Electrodes P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston DOE Plasma Science Center Teleseminar, February

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Trench filling by ionized metal physical vapor deposition

Trench filling by ionized metal physical vapor deposition Trench filling by ionized metal physical vapor deposition Junqing Lu a) and Mark J. Kushner b) University of Illinois, 1406 W. Green Street, Urbana, Illinois 61801 Received 25 January 2001; accepted 16

More information

The plasma simulation system Brochure.

The plasma simulation system Brochure. 1 The plasma simulation system 2016 Brochure www.quantemol.com 2 What does Q-VT do? Quantemol-Virtual Tool is an expert software system for the simulation of industrial plasma processing tools. Q-VT builds

More information

Effect of sputter heating in ionized metal physical vapor deposition reactors

Effect of sputter heating in ionized metal physical vapor deposition reactors JOURNAL OF APPLIED PHYSICS VOLUME 87, NUMBER 10 15 MAY 2000 Effect of sputter heating in ionized metal physical vapor deposition reactors Junqing Lu a) Department of Mechanical and Industrial Engineering,

More information

Profile simulations of gas chopping etching processes

Profile simulations of gas chopping etching processes Profile simulations of gas chopping etching processes - Model development and comparison with experiments - by Burkhard E. Volland A dissertation submitted in partial fulfillment of the requirements for

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources

Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources Ronald L. Kinder a) and Mark J. Kushner b) Department of Electrical and Computer Engineering,

More information

A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES*

A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES* A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES* Kapil Rajaraman** and Mark J. Kushner*** **Department of Physics ***Department of Electrical and

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Model for noncollisional heating in inductively coupled plasma processing sources

Model for noncollisional heating in inductively coupled plasma processing sources Model for noncollisional heating in inductively coupled plasma processing sources Shahid Rauf a) and Mark J. Kushner b) Department of Electrical and Computer Engineering, University of Illinois, 1406 West

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma Effects of cross field diffusion in a low pressure high density oxygen/silane plasma C. Charles Citation: Journal of Vacuum Science & Technology A 20, 1275 (2002); doi: 10.1116/1.1481042 View online: http://dx.doi.org/10.1116/1.1481042

More information

A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING

A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING Michael A. Lieberman Department of Electrical Engineering and Computer Science, CA 94720 LiebermanMinicourse10 1 OUTLINE

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Angular anisotropy of electron energy distributions in inductively coupled plasmas

Angular anisotropy of electron energy distributions in inductively coupled plasmas JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Angular anisotropy of electron energy distributions in inductively coupled plasmas Alex V. Vasenkov a) and Mark J. Kushner b) Department of

More information

ELECTRON ENERGY DISTRIBUTIONS AND NON-COLLISIONAL HEATING IN MAGNETICALLY ENHANCED INDUCTIVELY COUPLED PLASMAS*

ELECTRON ENERGY DISTRIBUTIONS AND NON-COLLISIONAL HEATING IN MAGNETICALLY ENHANCED INDUCTIVELY COUPLED PLASMAS* ELECTRON ENERGY DISTRIUTIONS AND NON-COLLISIONAL HEATING IN MAGNETICALLY ENHANCED INDUCTIVELY COUPLED PLASMAS* Ronald L. Kinde and Mak J. Kushne Depatment of Electical and Compute Engineeing Ubana, IL

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston 1 Outline Introduction PIC-MCC simulation of tailored bias on boundary electrode Semi-analytic model Comparison

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl 2 : A Multiple Real- Time Sensors Study

Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl 2 : A Multiple Real- Time Sensors Study Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl : A Multiple Real- Time Sensors Study Fred L. Terry, Jr. Dept of EECS University of Michigan +1-734-763-9764 +1-734-763-934 (fax) fredty@umich.edu

More information