Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Size: px
Start display at page:

Download "Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas"

Transcription

1 Integrated Ferroelectrics, 90: , 2007 Copyright Taylor & Francis Group, LLC ISSN print / online DOI: / Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Jang Woo Lee, Han Na Cho, Su Ryun Min, and Chee Won Chung Department of Chemical Engineering, Inha University, 253 Yonghyun-Dong, Nam-Ku, Incheon , Korea ABSTRACT Inductively coupled plasma reactive ion etching of GeSbTe (GST) thin films with a photoresist mask was performed using a HBr/Ar gas mixture. The etch rate of GST films increased up to 20% HBr concentration and began to decrease with further increase of HBr concentration. The etch profiles were improved with increasing HBr gas concentration. In particular, clean and vertical etch profiles were achieved at % HBr gas concentrations. As the coil rf power and dc-bias voltage increased, the etch rates increased. The gas pressure had little influence on the etch rate. The good etch profiles were obtained at high coil power, low dc-bias and high gas pressure. The x-ray photoelectron spectroscopy analysis reveals that Te showed highest reactivity with HBr gas chemistry. A high degree of anisotropic etching of GST films was achieved using HBr/Ar gas mixture at the optimized etch conditions. Keywords: GeSbTe; inductively coupled plasma; reactive ion etching; HBr 1. INTRODUCTION Among the chalcogenide materials, the Ge-Sb-Te alloys have been known to be applied to the optical data storages such as compact disc-rewritable (CD-RW), digital video recorder (DVR) and digital versatile disk-random access memory (DVD-RAM) [1 4]. These devices employ the different optical properties due to the phase change between the crystalline and amorphous phases. Recently, the study on phase-change random access memory (PRAM) using the phase-change phenomenon has been extensively carried out since the PRAM device is a nonvolatile memory and has the advantages of fast read/write Received May 31, Corresponding author. cwchung@inha.ac.kr [1221]/95

2 96/[1222] Jang Woo Lee et al. speeds, low voltage operation and high-density integration [5 7]. The PRAM device has drawn a great attention as a new memory for next generation along with ferroelectric random access memory (FeRAM) and magnetic random access memory (MRAM) devices. The operation principle of PRAM relies on the resistance difference due to the phase change between the amorphous and crystalline phases due to the heating. Among the stoichiometric compositions of this ternary system, Ge 2 Sb 2 Te 5 (GST) thin films have been known to be one of the most suitable materials for this application [8 10]. The studies on the deposition of GST thin films and their properties have been extensively carried out but the study on pattern transfer of GST films by dry etching have not found yet. The use of chlorine-based gases has been expected to be effective in the etching of GST film. The dry etching of GST thin films for the realization of high density PRAM needs to be developed. In this work, the reactive ion etching of GST thin films with a photoresist mask was studied using a high density plasma of a HBr/Ar gas mix. The etch characteristics of GST films were examined by varying various etch parameters including gas concentration, coil rf power, dc-bias voltage and gas pressure. In addition, the x-ray photoelectron spectroscopy (XPS) of the etched surfaces was performed to elucidate the etch mechanism of the GST films in HBr/Ar chemistry. 2. EXPERIMENTAL The preparation of GST thin films was carried out on Pt/Ti coated SiO 2 /Si substrates by rf magnetron sputtering. The composition of ceramic target used in this study was 14.1 : 23.7 : 62.2 (wt%) as the ratio of Ge : Sb : Te. The substrate was placed at a distance of 70 mm from the center axis of the target. The substrate was at room temperature and was rotated at 12 rev./min for uniform deposition of the films. The deposited GST thin films were annealed at the temperature range of C under N 2 ambient in a furnace and the phase change by temperature variation was confirmed using x-ray diffraction analysis. The GST films with the thickness of nm were deposited on Pt/Ti/SiO 2 /Si substrate and then the lithography on GST films was performed using photoresist in order to form the patterns for the etching. The etch equipment used in this study was a high density inductively coupled plasma reactive ion etch system (ICPRIE) (A-Tech System, Korea). The equipment was configured with two separate MHz RF power supplies and an advanced cooling system. One of the RF supplies was connected to a coil fastened to the lid of the etching chamber, and was used to generate a high density plasma. The second RF supply was capacitively coupled to the substrate susceptor, and was used to provide a dc self-bias for accelerating ions into the substrate. The advanced cooling system provided flows of chilled water to the substrate platen, and helium gas to the backside of the substrate. The wafer was mechanically held down on the substrate platen.

3 Inductively Coupled Plasma Reactive Ion Etching [1223]/97 The etch rates and etch profiles of the GST films were investigated by varying the HBr concentrations at the fixed etch conditions, which were coil rf power of 700 W, dc-bias voltage to susceptor of 300 V, and gas pressure of 5 mtorr. For the effect of etch parameters on GST films, coil rf power, dc-bias voltage to susceptor, and gas pressure were systematically varied at a constant concentration of an etch gas. The etch rates were measured using a Dektak surface profilometer and field emission scanning electron microscopy (FESEM). The etch profiles of etched features were observed by FESEM and the etched surfaces of the films were investigated by XPS. 3. RESULTS AND DISCUSSION Figure 1 shows the etch rate variations of GST films and photoresist by varying HBr gas concentration in a HBr/Ar gas mix. The etch condition was coil rf power of 700 W, dc-bias voltage of 300 V and gas pressure of 5 mtorr. As the HBr concentration increased, the etch rate of GST films increased up to 20% HBr concentration and gradually decreased at more than 20% HBr gas. The maximum etch rate (420 nm/min) was obtained at 20% HBr concentration. It means that the etching of GST films follows the mechanism of reactive ion etching. The reason why the etch rates decrease at HBr gas concentration more than 20% is due to the role of hydrogen in HBr gas. As the HBr gas concentration increased, the amount of hydrogen which can be involved in the etching also Figure 1. Effect of HBr concentration on the etch rate of GST films and photoresist.

4 98/[1224] Jang Woo Lee et al. increased. The role of hydrogen in the etching is to protect the all exposed surfaces by forming thin layer containing hydrogen, which in turn helps the anisotropic etching of GST films. However, when the excess hydrogen is used, it can hinder the etching of GST film by forming thick layer. The etch rates of photoresist masks also showed the similar trend as the GST films. At 20% HBr concentration, the maximum etch rate showed and slightly decreased with further increase of HBr gas. The etch profiles of GST films etched at various HBr concentrations are shown in Fig. 2. The etch residues and redeposition were not observed on the sidewall of etched films for all etch conditions and a little redeposition on the sidewall was found for the etch condition of using pure Ar (Fig. 2a). Even the etch profile etched at 20% HBr was clean and had vertical etch sidewall slope. Figure 2. FESEM micrographs of GST films etched at different HBr concentrations. The etching condition was coil rf power of 700 W, dc-bias voltage of 300 V, and gas pressure of 5 mtorr. (a) 0% HBr (pure Ar), (b) 20% HBr, (c) 40% HBr, (d) 60% HBr, (e) 80% HBr, and (f) 100% HBr.

5 Inductively Coupled Plasma Reactive Ion Etching [1225]/99 Figure 3. Etch rates of GST films etched at different etch parameters. The standard etching condition was 60% HBr, coil rf power of 700 W, dc-bias voltage of 300 V, and gas pressure of 5 mtorr. (a) Effect of coil rf power, (b) Effect of dc-bias voltage, and (c) Effect of gas pressure.

6 100/[1226] Jang Woo Lee et al. As the HBr gas increased, the degree of anisotropy was enhanced. At more than 80% HBr gas concentration (Figs. 2e and 2f), the perfect etch profiles with the vertical etch slope of 90 were obtained. It is clear from the observation of the etch profiles that the HBr gas reacts very well with GST films in this etching, leaving clean etch profiles. As mentioned earlier, it is thought that the hydrogen in HBr gas played an important role in forming a high degree of anisotropic etch profiles. Figure 4. FESEM micrographs of GST films etched by varying coil rf power. The etching condition was 60% HBr, dc-bias voltage of 300 V, and gas pressure of 5 mtorr. (a) 300 W, (b) 500 W, and (c) 700 W.

7 Inductively Coupled Plasma Reactive Ion Etching [1227]/101 As a next step, the effects of etch parameters on etch characteristics of GST films were systematically investigated at the HBr concentration of 60%. The selected standard etch conditions were 60% HBr concentration, 700 W coil rf power, 300 V dc-bias voltage, and 5 mtorr gas pressure. The etch parameters and their ranges used in this study were coil rf power from 300 to 700 W, dc-bias voltage from 200 to 400 V, and gas pressure from 1 to 10 mtorr, respectively. Figure 3 shows the change of etch rates for the variation of each parameter. When the selected parameter was varied, the other parameters were fixed at standard Figure 5. FESEM micrographs of GST films etched by varying dc-bias voltage. The etching condition was 60% HBr, coil rf power of 700 W, and gas pressure of 5 mtorr. (a) 200 V, (b) 300 V, and (c) 400 V.

8 102/[1228] Jang Woo Lee et al. Figure 6. FESEM micrographs of GST films etched by varying gas pressure. The etching condition was 60% HBr, coil rf power of 700 W, and dc-bias voltage of 300 V. (a) 1 mtorr, (b) 5 mtorr, and (c) 10 mtorr. etch conditions. As the coil rf power and dc-bias increased, the etch rates were almost linearly increased as shown in Figs. 3a and 3b. However, the etch rates maintained almost constant with increasing gas pressure (Fig. 3c). This change in etch rate for the variation of etch parameters is similar to the etching of other oxide films. The increase of etch rates with increasing coil power is due to the increase of plasma density which means the increase in bromine radicals and argon ions in a HBr/Ar plasma. The energetic argon ions break the bonding of GST films and/or sputter the GST films. The bromine radicals react with the

9 Inductively Coupled Plasma Reactive Ion Etching [1229]/103 broken or damaged GST surface. This overall etch process lead to the increase of etch rate. The etch rates increase with increasing dc-bias voltage since the increase of dc-bias voltage resulted in the high bombarding energy of argon ions to the substrate. Little change in etch rate with increasing gas pressure is attributed to the result of interaction between the increased plasma density due to high gas pressure and the decreased argon ions due to short mean free path. Figures 4, 5 and 6 show the FESEM micrographs of the etched GST films for the variation of etch parameters. The etched GST films were stripped off after etching. As the coil rf power increased and dc-bias voltage decreased, the high degree of anisotropic etching without etch residues was achieved. It is evident that the GST films etched at high coil power of 700 W (Fig. 4) and low dc-bias of 200 V (Fig. 5) showed the vertical sidewall angle of approximately 90.At high coil power, more radicals and ions are created and especially increased ions play a crucial role in forming the anisotropic etch profile. At low dc-bias, less energetic ions bombard onto the film surface, compared to ions at high dc-bias. This weak bombardment at low dc-bias can help to maintain the protecting layer on the sidewall for anisotropic etching although the etch rate decrease at low dcbias (Fig. 3b). On the other hand, the bombardment of ions with high energy can remove the protecting layer on the sidewall and leads to the shallow etch profile. The anisotropic etch profile at high gas pressure of 10 mtorr was obtained, as Figure 7. XPS spectra of GST films etched at different etch times. The etching condition was 60% HBr, coil rf power of 700 W, dc-bias voltage of 300 V, and gas pressure of 5mTorr.

10 104/[1230] Jang Woo Lee et al. given in Fig. 6. It is conceivable that high pressure etching contributed to the weak impact of ions onto the films, leaving the protecting layer on pattern sidewall. It is expected that the anisotropic etch profiles obtained at high coil rf power, low dc-bias voltage and high gas pressure stem from reactive ion etching mechanism of GST films, bombarding ion energy onto the film surface and role of hydrogen in HBr plasma. Since the GST film consists of three components (i.e., Ge, Sb and Te), it is meaningful to compare the relative compositions of each component upon etching for the understanding of the etching mechanism. The XPS spectra were obtained for the GST films etched by varying etch time (Fig. 7). The etch conditions were 60% HBr concentration, 700 W coil rf power, 300 V dc-bias, and 5 mtorr gas pressure. The etch conditions used here gave clean etch profiles without any etch residues so that the measured compositions were those of etched GST film surfaces. The etch samples for this analysis were bare GST films without photoresist masks. It is evident from XPS full spectra that Te peak at 582 ev decreased with increasing etch time. Figure 8 shows the composition changes of GST film surface as a function of etch time. The relative atomic concentrations of Ge, Sb and Te elements in Fig. 8 were determined from XPS spectra of Fig. 7. The sensitivity factors of each element for XPS analysis were calibrated with the film composition obtained by the ICP analysis of Figure 8. Composition change of the GST film surface as a function of etch time, determined from XPS spectra. The etching condition was 60% HBr, coil rf power of 700 W, dc-bias voltage of 300 V, and gas pressure of 5 mtorr.

11 Inductively Coupled Plasma Reactive Ion Etching [1231]/105 as-deposited GST film. The main etching products in this study are expected to be bromine compounds since the etch gas used was a HBr/Ar gas mix. As the etching proceeded, the relative atomic concentration of Ge and Sb gradually increased while that of Te kept decreasing. These results imply that in this etching condition Te component easily reacts with Br radicals to form TeBr x compound, compared to Ge and Sb. From the comparison of the relative atomic concentration of Ge, Sb and Te on the etched GST surfaces, the etch rate of Te is faster than those of Ge and Sb. 4. CONCLUSIONS The etch characteristics of GST thin films with a photoresist mask were investigated using a HBr/Ar gas mix in an inductively coupled plasma. As the concentration of HBr gas increased, the etch rate of GST films increased up to 20% HBr concentration and gradually decreased at the HBr concentration of more than 20%. It implies that the etching of GST films follows the reactive ion etching mechanism. The etch rates increased with increasing coil rf power, dc-bias voltage and had little effect on gas pressure. The degree of anisotropy of GST films was enhanced with increasing coil rf power and gas pressure but at dc-bias voltage the vertical etch profile was obtained at low dc-bias. These results can be interpreted by the reactive ion etching mechanism, the amount of hydrogen and the bombarding ion energy. It was found from XPS analysis that the Te component was etched faster than the Ge and Sb. It can be concluded that the high degree of anisotropic etching of GST films was achieved using a HBr/Ar chemistry at the optimized etch conditions. ACKNOWLEDGMENT This work was supported by an INHA University Research Grant. REFERENCES 1. B. Tieke, M. Dekker, N. Pfeffer, R. Woudenberg, G. F. Zhou, and I. P. D. Ubbens, Jpn. J. Appl. Phys. 3, 762 (2000). 2. H. J. Borg, M. v. Schijndel, J. C. N. Ripers, M. H. R. Lankhorst, G. Zhou, M. J. Dekker, I. P. D. Ubbens, and M. Juijper, Jpn. J. Appl. Phys. 40, 1592 (2001). 3. K. Chiang, T. R. Jeng, D. R. Huang, Y. Y. Chang, and C. P. Liu, Jpn. J. Appl. Phys. 38, 1649 (1999). 4. E. M. Sanchez, E. F. Prokhorov, J. G. Hernandez, and A. M. Galvan, Thin Solid Films 471, 243 (2005).

12 106/[1232] Jang Woo Lee et al. 5. T. Y. Lee, K. B. Kim, B. K. Cheong, T. S. Lee, S. J. Park, K. S. Lee, W. M. Kim, and S. G. Kim, J. Appl. Phys. 80,18(2002). 6. S. M. Kim, M. J. Shin, D. J. Choi, K. N. Lee, S. K. Hong, and Y. J. Park, Thin Solid Films 469, 322 (2004). 7. S. Hosaka, K. Miyauchi, T. Tamura, H. Sone, and H. Koyanagi, Microelectronic Eng. 73, 736 (2004). 8. G. F. Zhou, Materials Sci. and Eng. A304, 73(2001). 9. V. Weidenhof, N. Pirch, I. Friedrich, S. Ziegler, and M. J. Wuttig, Appl. Phys. 88, 657 (2000). 10. N. Yamada, E. Ohno, K. Nishiuchi, and N. J. Akahira, Appl. Phys. 69, 2849 (2001).

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Tailoring of Electron Collecting Oxide Nano-Particulate Layer for Flexible Perovskite Solar Cells. Gajeong-Ro, Yuseong-Gu, Daejeon , Korea

Tailoring of Electron Collecting Oxide Nano-Particulate Layer for Flexible Perovskite Solar Cells. Gajeong-Ro, Yuseong-Gu, Daejeon , Korea Supporting Information Tailoring of Electron Collecting Oxide Nano-Particulate Layer for Flexible Perovskite Solar Cells Seong Sik Shin 1,2,, Woon Seok Yang 1,3,, Eun Joo Yeom 1,4, Seon Joo Lee 1, Nam

More information

A new method of growing graphene on Cu by hydrogen etching

A new method of growing graphene on Cu by hydrogen etching A new method of growing graphene on Cu by hydrogen etching Linjie zhan version 6, 2015.05.12--2015.05.24 CVD graphene Hydrogen etching Anisotropic Copper-catalyzed Highly anisotropic hydrogen etching method

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Department of Chemistry, NanoCarbon Center, Houston, Texas 77005, United States, University of Central Florida, Research Parkway,

Department of Chemistry, NanoCarbon Center, Houston, Texas 77005, United States, University of Central Florida, Research Parkway, Flexible Nanoporous WO3-x Nonvolatile Memory Device Supporting Information Yongsung Ji,, Yang Yang,,&, Seoung-Ki Lee, Gedeng Ruan, Tae-Wook Kim, # Huilong Fei, Seung-Hoon Lee, Dong-Yu Kim, Jongwon Yoon

More information

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Korean J. Chem. Eng., 0(), 407-413 (003) Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Jung-Hyun Ryu, Byeong-Ok Cho, Sung-Wook Hwang, Sang Heup Moon and Chang-Koo Kim*

More information

Exploration into Sputtered ITO Film Properties as a Function of Magnetic Field Strength

Exploration into Sputtered ITO Film Properties as a Function of Magnetic Field Strength Tangential Magnetic Flux, Gauss Exploration into Sputtered ITO Film Properties as a Function of Magnetic Field Strength Patrick Morse and Timmy Strait Sputtering Components Inc. Owatonna Minnesota ABSTRACT

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Korean J. Chem. Eng., 28(4), 1133-1138 (2011) DOI: 10.1007/s11814-011-0036-2 INVITED REVIEW PAPER X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Jeong-Gil Choi Department

More information

12. Memories / Bipolar transistors

12. Memories / Bipolar transistors Technische Universität Graz Institute of Solid State Physics 12. Memories / Bipolar transistors Jan. 9, 2019 Technische Universität Graz Institute of Solid State Physics Exams January 31 March 8 May 17

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Production of Graphite Chloride and Bromide Using Microwave Sparks

Production of Graphite Chloride and Bromide Using Microwave Sparks Supporting Information Production of Graphite Chloride and Bromide Using Microwave Sparks Jian Zheng, Hongtao Liu, Bin Wu, Chong-an Di, Yunlong Guo, Ti Wu, Gui Yu, Yunqi Liu, * and Daoben Zhu Key Laboratory

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials*

Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials* Pure Appl. Chem., Vol. 74, No. 3, pp. 435 439, 2002. 2002 IUPAC Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials* T. Ishigaki 1,, N. Okada

More information

An environment designed for success

An environment designed for success An environment designed for success The nanofab is a centralized, open-access, training, service, and collaboration facility, focused on academic research and industrial applications in micro- and nanoscale

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

June Key Lee. Department of Materials Science and Engineering, Chonnam National University, Gwangju (Received 26 August 2008)

June Key Lee. Department of Materials Science and Engineering, Chonnam National University, Gwangju (Received 26 August 2008) Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1140 1144 Surface and Electrical Properties of Inductively-coupled Plasma-etched N-face n-gan and a Method of Reducing the Ohmic

More information

Electronic Supplementary Information: Synthesis and Characterization of Photoelectrochemical and Photovoltaic Cu2BaSnS4 Thin Films and Solar Cells

Electronic Supplementary Information: Synthesis and Characterization of Photoelectrochemical and Photovoltaic Cu2BaSnS4 Thin Films and Solar Cells Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information: Synthesis and Characterization of

More information

Development of Deposition and Etching Technologies for Piezoelectric Elements for Ferroelectric MEMS

Development of Deposition and Etching Technologies for Piezoelectric Elements for Ferroelectric MEMS Development of Deposition and Etching Technologies for Piezoelectric Elements for Ferroelectric MEMS Yutaka Kokaze*, Isao Kimura*, Takehito Jimbo*, Mitsuhiro Endo*, Masahisa Ueda* and Koukou Suu* Recently,

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate Appl. Sci. Converg. Technol. 27(6): 135-139 (2018) https://doi.org/10.5757/asct.2018.27.6.135 Research Paper Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

More information

The Effect of Deposition Parameter on Electrical Resistivity of TiAlN Thin Film

The Effect of Deposition Parameter on Electrical Resistivity of TiAlN Thin Film HWAHAK KONGHAK Vol. 40, No. 4, August, 00, pp. 59-533 TiAlN Electrical Resistivity * * (00 4 3, 00 7 31 ) The Effect of Deposition Parameter on Electrical Resistivity of TiAlN Thin Film Young Soo Song,

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition

Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition Composites: Part B 30 (1999) 685 689 www.elsevier.com/locate/compositesb Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition K. Ebihara*,

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air Materials Transactions, Vol. 49, No. 7 (28) pp. 18 to 112 Special Issue on Lead-Free Soldering in Electronics IV #28 The Japan Institute of Metals Effect of Surface Contamination on Solid-State Bondability

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

Analysis of charge-transport properties in GST materials for next generation phase-change memory devices. Fabio Giovanardi Tutor: Prof.

Analysis of charge-transport properties in GST materials for next generation phase-change memory devices. Fabio Giovanardi Tutor: Prof. Analysis of charge-transport properties in GST materials for next generation phase-change memory devices Fabio Giovanardi Tutor: Prof. Massimo Rudan The use of phase-change chalcogenide alloy films to

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

Supporting Information

Supporting Information Supporting Information Oxygen Reduction on Graphene-Carbon Nanotube Composites Doped Sequentially with Nitrogen and Sulfur Drew C. Higgins, Md Ariful Hoque, Fathy Hassan, Ja-Yeon Choi, Baejung Kim, Zhongwei

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Electronic Supplementary information Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Hee Sung Lee, a Kwang H. Lee, a Chan Ho Park, b Pyo Jin

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma Plasma Science and Technology, Vol.15, No.10, Oct. 2013 Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma XU Yijun ( ) 1,2, WU

More information

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene Supplementary Information for Origin of New Broad Raman D and G Peaks in Annealed Graphene Jinpyo Hong, Min Kyu Park, Eun Jung Lee, DaeEung Lee, Dong Seok Hwang and Sunmin Ryu* Department of Applied Chemistry,

More information

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics Surface and Coatings Technology 174 175 (2003) 839 844 Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics M.C. Kim, S.H. Yang *, J.-H. Boo, J.G. Han

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films Plasma Science and Technology, Vol.14, No.2, Feb. 2012 Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films PANG Jianhua ( ) 1, LU Wenqi ( ) 1, XIN Yu ( ) 2, WANG Hanghang

More information

School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon , Korea.

School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon , Korea. Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary information (ESI) Highly Efficient and Bending Durable

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information