INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Size: px
Start display at page:

Download "INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL"

Transcription

1 INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL January 1999 ODP04

2 COMPUTATIONAL OPTICAL AND DISCHARGE PHYSICS GROUP at Urbana/Champaign The Computational Optical and Discharge Physics Group (CODPG) at the develops computer simulations and computer aided design tools for low temperature plasma processes and equipment. Plasma materials processing for microelectronics fabrication Plasma remediation of toxic gases Pulsed Power Lighting sources and plasma display panels Lasers and laser-materials interactions These physics based, design capable models are jointly developed and validated with industrial collaborators. The models may be delivered and licensed to our collaborators. ODP01 Optical and Discharge Physics

3 HYBRID PLASMA EQUIPMENT MODEL (HPEM) The Hybrid Plasma Equipment Model (HPEM) is a comprehensive modeling platform developed by the CODPG for low pressure (< 10 s Torr) plasma processing reactors. The HPEM is capable of addressing: ODP02 Inductively Coupled Plasma (ICP) tools. Reactive Ion Etchers (RIE) Electron Cyclotron Resononance (ECR) sources Magnetron sputter and Ionized Metal Physical Vapor Deposition (IMPVD) Remote Plasma Activated Chemical Vapor Deposition (RPACVD) Dust particle transport in plasma tools There are 2-d and 3-d versions of the HPEM. The HPEM is linked to profile simulators developed in the CODPG which predict the evolution of submicron features. The HPEM is now in use at 10 major semiconductor chip and plasma equipment manufactures. Optical and Discharge Physics

4 SCHEMATIC OF THE HYBRID PLASMA EQUIPMENT MODEL Es(r,θ,z,φ) v(r,θ,z) S(r,θ,z) PLASMA CHEMISTRY MONTE CARLO SIMULATION FLUXES ETCH PROFILE = 2-D ONLY = 2-D and 3-D I,V (coils) MAGNETO- STATICS CIRCUIT E ELECTRO- MAGNETICS FDTD MICROWAVE Bs(r,θ,z) E(r,θ,z,φ), B(r,θ,z,φ) ELECTRON MONTE CARLO SIMULATION ELECTRON BEAM ELECTRON ENERGY EQN./ BOLTZMANN NON- COLLISIONAL HEATING Es(r,θ,z,φ) N(r,θ,z) S(r,θ,z), Te(r,θ,z) µ(r,θ,z) FLUID- KINETICS SIMULATION HYDRO- DYNAMICS ENERGY EQUATIONS SHEATH LONG MEAN FREE PATH (SPUTTER) SIMPLE CIRCUIT Φ(r,θ,z,φ) V(rf), V(dc) Φ(r,θ,z) R(r,θ,z) Φ(r,θ,z) R(r,θ,z) EXTERNAL CIRCUIT MESO- SCALE SURFACE CHEMISTRY J(r,θ,z,φ) I(coils), σ(r,θ,z) VPEM: SENSORS, CONTROLLER, ACTUATORS PEUG9904 Optical and Discharge Physics

5 Example: HPEM SIMULATION OF p-si ETCHING The HPEM has been applied to analysis of a large variety of plasma etching systems. Here we show the electron density in an Inductively Coupled Plasma p-si etching tool and the resulting etch profile. Electron Density (1011 cm-3) 40 Coils Photoresist 30 Inlet p-si ODPM01 10 Wafer 0 0 Pump Port Radius (cm) SiO2 Gas Mixture: Cl 2 /Ar = 96/4 Pressure: 4 mtorr Gas flow rate: 30 sccm ICP power: 1000 W Bias voltage: 100 V UNIVERSITY OF ILLINOIS OPTICAL AND DISCHARGE PHYSICS

6 Example: MICROWAVE ECR PLASMA SOURCE A Finite Difference Time Domain (FDTD) module has been developed for the HPEM to address microwave excitation of plasma sources. Here we show the plasma conductiviity and microwave field intensity (2.45 GHz) in an Electron Cyclotron Resonance (ECR) reactor. The injected mode is TE01. Conductivity 30 (1 / Ω-cm) WINDOW 5.0 x 10-2 COILS Azimuthal Electric Field (V / cm) 2.0 x x WAFER 0 SUBSTRATE 20 Radius (cm) 0.0 ODPM02 N2, 750 Watts, 1 mtorr, 10 sccm UNIVERSITY OF ILLINOIS OPTICAL AND DISCHARGE PHYSICS

7 Example: IMPVD OF Al Ionized Metal Physical Vapor Deposition (IMPVD) combines magnetron sputtering with an ICP plasma to produce ionized metal fluxes to the wafer. Ion Flux Al + Density The HPEM addresses both plasma transport and "sputtering physics". Ion and radical energies and angles to all surfaces are produced. Angle of Al + to Target Ar 20 mtorr, -200 V dc, 2 MHz ICP 1.25 kw, 40 V 10 MHz bias Optical and Discharge Physics ODP05

8 Example of HPEM-3D: AZIMUTHALLY ASYMMETRIC POWER DEPOSITION HPEM-3D has been applied to analysis of transmission-line effects in ICP reactors which produce azimuthally asymmetric power deposition. Power deposition: 18.5 Max = 0.92 W-cm-3 (2 decades) FEED SHOWERHEAD NOZZLE QUARTZ COIL FEED PUMP PORT FOCUS RING SUBSTRATE WAFER RADIUS (cm) ODPM MHz, 100 nf termination, Cl2, 10 mtorr, 400 W UNIV. OF ILLINOIS CODP GROUP

9 Example of DTS: NOZZLE GENERATED DUST PARTICLE TRAPS The Dust Transport Simulator (DTS) uses results from the HPEM to follow trajectories of dust particles in plasma tools. HPEM-3D was used to model an ICP reactor having 4 nozzles and a size load lock bay. Top view of particle positions The DTS predicts that dust particle traps are produced by these structures COIL HOUSING WINDOW 8.5 LOAD LOCK BAY FOCUS RING WAFER NOZZLE SUBSTRATE RADIUS (cm) Plasma Tool Geometry ODPM05 Ar, 10 mtorr, 100 W UNIVERSITY OF ILLINOIS OPTICAL AND DISCHARGE PHYSICS

10 COMPUTATIONAL OPTICAL AND DISCHARGE PHYSICS GROUP Contact Information Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL Voice: FAX: ODP03 Optical and Discharge Physics

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES* 25th IEEE International Conference on Plasma Science Raleigh, North Carolina June 1-4, 1998 SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(,n) MODES* Ron L. Kinder and Mark J.

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES* 51th Gaseous Electronics Conference & 4th International Conference on Reactive Plasmas Maui, Hawai i 19-23 October 1998 OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES*

A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES* A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES* Kapil Rajaraman** and Mark J. Kushner*** **Department of Physics ***Department of Electrical and

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS*

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* Kapil Rajaraman** and Mark J. Kushner*** **Department of Physics ***Department of Electrical and

More information

I. INTRODUCTION J. Vac. Sci. Technol. A 16 4, Jul/Aug /98/16 4 /2454/9/$ American Vacuum Society 2454

I. INTRODUCTION J. Vac. Sci. Technol. A 16 4, Jul/Aug /98/16 4 /2454/9/$ American Vacuum Society 2454 Consequences of three-dimensional physical and electromagnetic structures on dust particle trapping in high plasma density material processing discharges Helen H. Hwang, a) Eric R. Keiter, b) and Mark

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor Hao Deng, Z. Li, D. Levin, and L. Gochberg Department of Aerospace Engineering The Pennsylvania State

More information

Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study

Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study Mark J. Kushner a) University of Illinois, Department of Electrical and Computer Engineering,

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES*

CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES* CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES* Kapil Rajaraman**, Alex Vasenkov*** and Mark J. Kushner*** **Department of Physics

More information

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1 ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1 Alex V. Vasenkov 2, and Mark J. Kushner Department of Electrical and Computer Engineering Urbana, IL

More information

PLASMA processing (etching, deposition, cleaning) is one

PLASMA processing (etching, deposition, cleaning) is one 486 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 3, AUGUST 1998 Virtual Plasma Equipment Model: A Tool for Investigating Feedback Control in Plasma Processing Equipment Shahid Rauf, Member,

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

A3D Hybrid Model of ahelicon Source +

A3D Hybrid Model of ahelicon Source + A3D Hybrid Model of ahelicon Source + Eric R. Keiter* and Mark J. Kushner** Department of Electrical and Computer Engineering 146 W. Green St., Urbana, IL 6181 USA Http://uigelz.ece.uiuc.edu 1st Gaseous

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS

SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS D. Shane Stafford and Mark J. Kushner Department of Electrical and Computer Engineering Urbana, IL 61801 http://uigelz.ece.uiuc.edu

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Effect of sputter heating in ionized metal physical vapor deposition reactors

Effect of sputter heating in ionized metal physical vapor deposition reactors JOURNAL OF APPLIED PHYSICS VOLUME 87, NUMBER 10 15 MAY 2000 Effect of sputter heating in ionized metal physical vapor deposition reactors Junqing Lu a) Department of Mechanical and Industrial Engineering,

More information

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* Junqing Lu and Mark J. Kushner Department of Electrical and Computer Engineering at Urbana-Champaign mjk@uiuc.edu, jqlu@uiuc.edu

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Trench filling by ionized metal physical vapor deposition

Trench filling by ionized metal physical vapor deposition Trench filling by ionized metal physical vapor deposition Junqing Lu a) and Mark J. Kushner b) University of Illinois, 1406 W. Green Street, Urbana, Illinois 61801 Received 25 January 2001; accepted 16

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

The plasma simulation system Brochure.

The plasma simulation system Brochure. 1 The plasma simulation system 2016 Brochure www.quantemol.com 2 What does Q-VT do? Quantemol-Virtual Tool is an expert software system for the simulation of industrial plasma processing tools. Q-VT builds

More information

Plasma Modeling with COMSOL Multiphysics

Plasma Modeling with COMSOL Multiphysics Plasma Modeling with COMSOL Multiphysics Copyright 2014 COMSOL. Any of the images, text, and equations here may be copied and modified for your own internal use. All trademarks are the property of their

More information

Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources

Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources Ronald L. Kinder a) and Mark J. Kushner b) Department of Electrical and Computer Engineering,

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

A time dependent propagator method for long mean free path transport of neutral particles in plasma processing reactors

A time dependent propagator method for long mean free path transport of neutral particles in plasma processing reactors A time dependent propagator method for long mean free path transport of neutral particles in plasma processing reactors Wen-yi Tan, Robert J. Hoekstra, and Mark J. Kushner a) Department of Electrical and

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT *

SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT * SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT * JULINE_ICOPS09_01 Juline Shoeb a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering Iowa

More information

PHYSICAL VAPOR DEPOSITION OF THIN FILMS

PHYSICAL VAPOR DEPOSITION OF THIN FILMS PHYSICAL VAPOR DEPOSITION OF THIN FILMS JOHN E. MAHAN Colorado State University A Wiley-Interscience Publication JOHN WILEY & SONS, INC. New York Chichester Weinheim Brisbane Singapore Toronto CONTENTS

More information

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Lizhu Tong Keisoku Engineering System Co., Ltd., Japan September 18, 2014 Keisoku Engineering System Co., Ltd., 1-9-5 Uchikanda,

More information

vacuum analysis plasma diagnostics surface science gas analysis

vacuum analysis plasma diagnostics surface science gas analysis Hiden EQP Systems High Sensitivity Mass and Energy Analysers for Monitoring, Control and Characterisation of Ions, Neutrals and Radicals in Plasma. vacuum analysis surface science gas analysis plasma diagnostics

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

Model for noncollisional heating in inductively coupled plasma processing sources

Model for noncollisional heating in inductively coupled plasma processing sources Model for noncollisional heating in inductively coupled plasma processing sources Shahid Rauf a) and Mark J. Kushner b) Department of Electrical and Computer Engineering, University of Illinois, 1406 West

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Shapes of agglomerates in plasma etching reactors

Shapes of agglomerates in plasma etching reactors Shapes of agglomerates in plasma etching reactors Fred Y. Huang a) and Mark J. Kushner b) University of Illinois, Department of Electrical and Computer Engineering, 1406 West Green Street, Urbana, Illinois

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Angular anisotropy of electron energy distributions in inductively coupled plasmas

Angular anisotropy of electron energy distributions in inductively coupled plasmas JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Angular anisotropy of electron energy distributions in inductively coupled plasmas Alex V. Vasenkov a) and Mark J. Kushner b) Department of

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

SIMULATION OF TRANSIENTS AND TRANSPORT IN PLASMA PROCESSING REACTORS PRAMOD SUBRAMONIUM

SIMULATION OF TRANSIENTS AND TRANSPORT IN PLASMA PROCESSING REACTORS PRAMOD SUBRAMONIUM SIMULATION OF TRANSIENTS AND TRANSPORT IN PLASMA PROCESSING REACTORS BY PRAMOD SUBRAMONIUM B.Tech., Indian Institute of Technology, Madras, 1999 M.S., University of Illinois at Urbana-Champaign, 2000 DISSERTATION

More information

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES Michael A. Lieberman University of California, Berkeley lieber@eecs.berkeley.edu DOE Center on Annual Meeting May 2015 Download this talk: http://www.eecs.berkeley.edu/~lieber

More information

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum Previous Lecture Electron beam lithoghraphy e - Electrons are generated in vacuum Electron beams propagate in vacuum Lecture 6: Vacuum & plasmas Objectives From this vacuum lecture you will learn: What

More information

The Plasma Simulation System Brochure.

The Plasma Simulation System Brochure. The Plasma Simulation System 2018 Brochure www.quantemol.com Benefits of Q-VT An experimentally validated simulation system focused on modelling plasma tools User-friendly interface Sets of validated cross-sections

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Low-field helicon discharges

Low-field helicon discharges Plasma Phys. Control. Fusion 39 (1997) A411 A420. Printed in the UK PII: S0741-3335(97)80958-X Low-field helicon discharges F F Chen, X Jiang, J D Evans, G Tynan and D Arnush University of California,

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Helicon Plasma Thruster Experiment Controlling Cross-Field Diffusion within a Magnetic Nozzle

Helicon Plasma Thruster Experiment Controlling Cross-Field Diffusion within a Magnetic Nozzle Helicon Plasma Thruster Experiment Controlling Cross-Field Diffusion within a Magnetic Nozzle IEPC-2013-163 Presented at the 33rd International Electric Propulsion Conference, The George Washington University

More information

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 A Working Electron Impact Cross Section Set for CHF 3 Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 Abstract Trifluoromethane, CHF 3, is used for plasma etching

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Plasmas rf haute densité Pascal Chabert LPTP, Ecole Polytechnique

Plasmas rf haute densité Pascal Chabert LPTP, Ecole Polytechnique Plasmas rf haute densité Pascal Chabert LPTP, Ecole Polytechnique chabert@lptp.polytechnique.fr Pascal Chabert, 2006, All rights reserved Programme Introduction Généralité sur les plasmas Plasmas Capacitifs

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

ELECTRON-cyclotron-resonance (ECR) plasma reactors

ELECTRON-cyclotron-resonance (ECR) plasma reactors 154 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 10, NO. 1, FEBRUARY 1997 Plasma-Parameter Dependence of Thin-Oxide Damage from Wafer Charging During Electron-Cyclotron-Resonance Plasma Processing

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

PIC-MCC simulations for complex plasmas

PIC-MCC simulations for complex plasmas GRADUATE SUMMER INSTITUTE "Complex Plasmas August 4, 008 PIC-MCC simulations for complex plasmas Irina Schweigert Institute of Theoretical and Applied Mechanics, SB RAS, Novosibirsk Outline GRADUATE SUMMER

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Plasma Modeling I: Modeling of plasmas. Annemie Bogaerts

Plasma Modeling I: Modeling of plasmas. Annemie Bogaerts Plasma Modeling I: Modeling of plasmas Annemie Bogaerts Department of Chemistry, University of Antwerp (UA), Research group PLASMANT Belgium Annemie.Bogaerts@uantwerpen.be www.uantwerpen.be/plasmant 2

More information

THE ROLE OF PLASMA MODELLING IN INDUSTRIAL RESEARCH. Dr. Ade Ayilaran 06/09/2018

THE ROLE OF PLASMA MODELLING IN INDUSTRIAL RESEARCH. Dr. Ade Ayilaran 06/09/2018 THE ROLE OF PLASMA MODELLING IN INDUSTRIAL RESEARCH Dr. Ade Ayilaran 06/09/2018 Quantemol Team Anna Dzarasova, CEO Dr. Sebastian Mohr, Chief Technology Officer Victoria Clark, PhD Student Dr. Daniel Brown,

More information