Plasma etching. Bibliography

Size: px
Start display at page:

Download "Plasma etching. Bibliography"

Transcription

1 Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An introduction, (Academic, Boston, 1989). - Most helpful textbook for the researcher majoring the dry etching. 3. M. Sugawara, Plasma etching; Fundamentals and applications, (Oxford Univ. Press, New York, 1998). - Mostly dedicated to the high density plasma sources such as ICP and ECR 4. W. N. G. Hitchon, Plasma processes for semiconductor fabrication, (Cambridge Univ. Press, Cambridge, 1999) - Theoretical approach to the plasma etching and plasma deposition process 5. R. J. Shul and S. J. Pearton, Handbook of advanced plasma processing techniques, (Springer, Heidelberg, 2000). - Helpful textbook for the researcher in the field of compound semiconductor process Dedicated to the plasma physics for graduate student in physics 1

2 Contents 1. Introduction 2. What is plasma?? 3. Reaction processes in plasma 4. Mechanism of plasma etching 5. Dry etch reactor 6. Process requirement of dry etching 7. In-situ diagnostic method of plasma etch 8. Device damage from plasma 9. Case study 9.1 Silicon etch 9.2 Metal etch 9.3 GaAs and InP etch 9.4 GaN and related material etch 2

3 7.1. Introduction Etch removal of unwanted area during the fabrication of semiconductor Etching is the most important step in the fabrication of semiconductor devices along with a lithography technique. InP via-hole etched by RIE GaAs laser-facet etched by ICP InGaN mesa for LED etched by ICP 3

4 Dry etching by using plasma. Anisotropic feature profile Fig. 2 (c) and (d) High aspect ratio etching Fig. 1 Wet etching by using wet chemical solution. Isotropic feature profile Fig. 2 (a) Low aspect ratio etching Fig. 2 (b) 4 Figure 1 Figure 2 4

5 Advantage of plasma etching Etching can be anisotropic Less consumption of chemicals; cost, environment impact Clean process (vacuum) Compatible with automation Precise pattern transfer Deep silicon etching for sensor application 5

6 Formation of sheath region The fast-moving electrons hit the wall before the ions do and some stick to the wall. The wall charges up negatively and this negative charge pushes other electrons away at the same time as attracting positive ions. The field near the wall holds the electrons away from the wall and accelerates the positive ions toward the wall. High energy ion bombardment cf) Generally, the voltage drop couldn t be measured. In practice process engineers usually monitor the dc potential (relative to ground) of the electrode instead, which is called dc-bias. 6

7 Processes in the sheath region 7

8 8

9 7. 4. Mechanism of plasma etching Etch mechanism (a) (b) Physical sputtering - purely physical process by energetic ion bombardment Chemical etching - purely chemical process by forming volatile byproduct through chemical reaction between substrate and active radicals in plasma (c) Accelerated ion-enhanced etching - chemical etching + physical etching: removal of volatile product is accelerated by energetic ion bombardment (d) Sidewall-protected (inhibitor driven) ion-enhanced etching deposition of etch-resistant layer with ion bombardment anisotropic etching 9

10 Sequential steps in etching cf) If any of these steps fails to occur, the overall etch cycle ceases and the step failed is a rate-limiting step 1 Formation of active etchant by electron collisions 2 Transport of active etchant to the wafer surface 3 Adsorption of etchant to wafer surface 4 Reaction of etchant and wafer to form etch-product 5 Desorption of etch-product from the wafer surface 6 Acceleration of desorption of etchproduct by ion bombardment 7 Transport of etch-product to the bulk plasma 8 Redissociation of etch-product in the plasma or pumped out 9 Redeposited on the reactor wall or pumped out 10

11 Radicals in plasma Radicals are generated through dissociation and ionization ex) e + O 2 O + + O* + 2e, e + CF 4 CF 3+ + F* + 2e Radicals are much more abundant than ions in plasma because; (1) They are generated at a higher rate due to; - lower threshold energy and ionization is often dissociative (2) Radicals survive longer than ions Although the concentration of radicals is much larger than that of positive ions, the reactive fluxes incident on the surfaces can be comparable, since ions are moving faster because they have large energy obtained from the electric field in the sheath. 11

12 Volatility and evaporation Volatility of etch-products is a key distinction between plasma etching and sputtering. In general, desorption is a rate-limiting steps in the plasma etching Highly volatile by-product formation is important. Evaporation rate of material (a) with molecular weight M a is proportional to its vapor pressure, p a, (refer to Chap. II) M a a 2 RT a C a 1 2 M a 2 RT p The evaporation rate is increased with increasing temperature. However, plasma etching generally done at room temperature. H formation of volatile product at RT is most important. a, 1 2 e p a C RT a e H RT 12

13 Boiling point of etch product (Si and metal) Si (SiO 2, Si 3 N 4 ) Metal (Ag, Al, Ti, Au, Co, Cr, Cu, Ni, Pb, Pt, Ta, W, Zn) Etch product Boiling point ( ) Comment SiH Gas at RT SiF Gas at RT Si 2 H 6-15 Gas at RT SiHCl SiCl Si 2 OCl Si 2 Cl AgCl 1550 AlCl Sublimation TiCl TiF Sublimation Au 2 Cl 3 - Non volatile Au 2 Br 3 - Non volatile CoCl CrO 2 Cl Cr(CO) CuCl Non volatile CuBr Non volatile Ni(CO) 4-25 PbCl PtF TaF WF 6 17 Sublimation (CH 3 ) 2 Zn 46 ZnCl Non volatile 13

14 Boiling point of etch product (III-V semiconductor) III-V semiconductor (GaAs, InP, GaN) Etch product Boiling point ( ) Comment Ga 2 H 6-63 Gas at RT GaCl GaCl GaF 3 ~ 1000 GaBr GaI 3 < 345 (CH 3 ) 3 Ga 55.7 (C 2 H 5 ) 3 In -32 Gas at RT (CH 3 ) 3 In 88 InCl Sublimation InBr Sublimation AsH Gas at RT AsF Gas at RT AsF 3 63 AsCl AsBr PF Gas at RT PH 3-88 Gas at RT PF 5-75 Gas at RT PCl 5 62 NCl 3 < 71 NF Gas at RT NI 3 - Explode NH 3-33 Gas at RT N Gas at RT (CH 3 ) 3 N -33 Gas at RT 14

15 Examples that show extremely low etch rate. Etch Al in fluorine-based gas : AlF 3 is not volatile Etch Ni in chlorine-based gas : NiCl 2 is not volatile Etch Al 2 O 3 in Cl 2 plasma: Al 2 O 3 + Cl 2 AlCl 3 + O 2 (Uphill thermodynamically, but etched with UV laser irradiation) Etch SiO 2 in Cl 2 plasma: Uphill thermodynamically, but etched with energetic ion bombardment. 15

16 Typical gases used for plasma etching n type Si Si Feed gas Mechanism Selective to Cl 2 Chemical Cl 2 /C 2 F 6 SiO 2 Ion-inhibitor SiCl 4 CCl 4 /O 2 Ion-energetic SiO 2 Cl 2 SiCl 4 /O 2 Sl 2 /SiCl 4 Al Cl 2 /CCl 4 Ion inhibitor /energetic SiO 2, some resist, Si 3 N 4 Cl 2 /CHCl 3 Cl 2 /BCl 3 Cl 2 Chemical Cl 2 /BCl 3 III-V semiconductor Cl 2 /CH 4 Cl 2 /CCl 4 Ion-inhibitor SiO 2, resist CCl 4 / O 2 SiCl 4 /O 2 III-V semiconductor Without Al Cl 2 /O 2 CF 2 Cl 2 Chemical Ion-inhibitor Al-containing alloy, SiO 2 16

17 7. 5. Dry etch method and reactor type Dry etch method Plasma method (a) Plasma etching (PE) (b) Reactivel ion etching (RIE) (c) High density plasma etching: Electron cyclotron resonance etching (ECR) and inductively coupled plasma etching (ICP) Ion beam method (a) Ion beam etching (IBE) (b) Reactive ion beam etching (RIBE) (c) Chemically-assisted ion beam etching (CAIBE) 17

18 Detailed characteristics of dry etching technique Parameter PE RIE MERIE ICP ECR frequency 13.56MHz 13.56MHz 13.56MHz 13.56MHz 2.45GHz - IBE (sputter) Pressure ~ ~ (torr) ~ 0.01 ~ ~ 0.1 T e (ev) ~ 8 ~ 8 ~ 5 ~ 4 ~ 4 Plasma density ~ 3e8 cm -3 ~ 1e10cm -3 ~5e10cm -3 ~5e11cm -3 ~5e11cm -3 - Wafer location Grounded electrode Powered electrode Powered electrode Powered electrode Powered electrode Powered electrode Ion voltage 25 ~ 100 V 250 ~ 500 V 400 ~ 1000 V 0 ~ 0 ~ 500 ~ 1000 V 1000 V 2000 V Ion energy Not Not Not Controllable Controllable controllable Controllable Controllable Controllable Chemical reaction Yes Yes Yes Yes Yes No Physical reaction No Yes Yes Yes Yes Yes Selectivity Excellent Good Good Good Good Poor Anisotropy poor Good Good Good Good Excellent 18

19 Comparison of dry etching technique RIE CAIBE ECR ICP advantage economical Relatively fast etch rate fast etch rate fast etch rate, low plasma damage disadvantage slow etch rate, plasma damage low versatility high price, low scalability 19

20 Reactor types of dry etch (a) Plasma etching (PE) and Reactive ion etch (RIE) 20

21 Plasma etching (PE) Same reactor geometry as PECVD system Low ion bombardment energy due to the low sheath voltage drop sample was loaded on the grounded electrode (anode) Mainly chemical reactions and negligible physical etching Isotropic etch profile At relatively high pressure: 0.1 ~ 10 Torr Reactive ion etch (RIE) Combination of chemical activity of reactive radicals with physical effects due to high sheath drop sample was loaded on the powered electrode (cathode) Ion bombardment strongly enhances the chemical process Anisotropic etch profile due to ion bombardment Lower operation pressure of 0.01 ~ 0.1 Torr 21

22 22

23 (b) Magnetically enhanced reactive ion etching (MERIE) Reduce the plasma loss on the chamber wall using magnetic field by electromagnet bucket Electron collisional efficiency increase by interaction of E and B field Substrate rotation for the increase of uniformity 23

24 (c) Electron Cyclotron Resonance etching z Quartz window Magnet k B Plasma generation y x E R Circularly polarized wave - v F e( E V B) Electron cyclotron motion 24

25 ECR: One of the high density plasma source (5 x cm -3 ) ECR: Plasma generation by combining microwave(2.45 GHz) and the magnetic field by additional magnet. Plasma generation mechanism Microwave (2.45 GHz) is introduced into reaction chamber through quartz window Magnetic field is generated in the reaction chamber by magnet (permanent or electro magnetic) Electrons rotate around the magnetic line of force with the electron cyclotron angular frequency of ω c : eb c m When the electric field E of microwave is perpendicular to the magnetic field and the circular wave of magnetic field satisfies ω = ω c, electrons are continuously accelerated by the electric field of the microwave, obtaining high energy, and then ionizing the gas molecules by collisions. If microwave of 2.45 GHz are used, the ECR takes place at the magnetic field flux density of 875 G. e 25

26 (d) Inductively coupled plasma(icp) MHz currents pass through ICP coil Z RF magnetic field formation along z axis Induction of vortex electric field Electrons oscillation Increase of electron collision efficiency More effective plasma generation than conventional RIE high radical density Electrostatic shield configuration eliminates capacitive coupling Independent ion energy control by table power 26

27 Types of Inductively coupled plasma(icp) Cylindrical type ICP Planar type ICP Contamination-free geometry Contamination of wafer by sputtering of window material. 27

28 Inductively Coupled Plasma(ICP) in NSL Laser interferometer on chamber-top Optical emission spectroscopy through sidewall window Electrostatic shield btw quartz and coil ICP/PECVD cluster tool at GIST 28

29 (e) Ion beam-based reactor IBE inert gas ion (Ar + ) formation in external RF ion source and extracted to the reaction chamber by acceleration electrode (grid). RIBE reactive gas besides inert gas ions are extracted from the external source to the reaction chamber. Etch rate is increased by the additional chemical reaction CAIBE inert gas ion (Ar + ) are extracted from the external source and the reactive gas are independently supplied to the wafer surface through shower-ring just above the wafer. 29

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

PHYSICAL VAPOR DEPOSITION OF THIN FILMS

PHYSICAL VAPOR DEPOSITION OF THIN FILMS PHYSICAL VAPOR DEPOSITION OF THIN FILMS JOHN E. MAHAN Colorado State University A Wiley-Interscience Publication JOHN WILEY & SONS, INC. New York Chichester Weinheim Brisbane Singapore Toronto CONTENTS

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

Ionization Techniques Part IV

Ionization Techniques Part IV Ionization Techniques Part IV CU- Boulder CHEM 5181 Mass Spectrometry & Chromatography Presented by Prof. Jose L. Jimenez High Vacuum MS Interpretation Lectures Sample Inlet Ion Source Mass Analyzer Detector

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum Previous Lecture Electron beam lithoghraphy e - Electrons are generated in vacuum Electron beams propagate in vacuum Lecture 6: Vacuum & plasmas Objectives From this vacuum lecture you will learn: What

More information

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Indian Journal of Pure & Applied Physics Vol. 48, October 2010, pp. 723-730 Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Bahaa T Chiad a, Thair L Al-zubaydi

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Chemical Vapor Deposition *

Chemical Vapor Deposition * OpenStax-CNX module: m25495 1 Chemical Vapor Deposition * Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching 課程名稱 : 微製造技術 Microfabrication Technology 授課教師 : 王東安 Lecture 6 Etching 1 Lecture Outline Reading Campbell: Chapter 11 Today s lecture Wet etching Chemical mechanical polishing Plasma etching Ion milling

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Chemistry Instrumental Analysis Lecture 34. Chem 4631

Chemistry Instrumental Analysis Lecture 34. Chem 4631 Chemistry 4631 Instrumental Analysis Lecture 34 From molecular to elemental analysis there are three major techniques used for elemental analysis: Optical spectrometry Mass spectrometry X-ray spectrometry

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI AT STANFORD Four labs under NNCI Umbrella at Stanford SNSF Stanford

More information

Chapter III: III: Sputtering and secondary electron emission

Chapter III: III: Sputtering and secondary electron emission References [1] Handbook of putter deposition technology, Kiyotaka Wasa, Noyes publications, NJ 1992. IN: 0-8155-1280-5 [2] old Plasma in Materials Fabrications,. Grill, IEEE Press, NY(1993). IN: 0-7803-1055-1.

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Chapter VI: Cold plasma generation

Chapter VI: Cold plasma generation Introduction This photo shows the electrical discharge inside a highpressure mercury vapor lamp (Philips HO 50) just after ignition (Hg + Ar) Chapter VI: Cold plasma generation Anode Positive column Cathode

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

Chemistry 311: Topic 3 - Mass Spectrometry

Chemistry 311: Topic 3 - Mass Spectrometry Mass Spectroscopy: A technique used to measure the mass-to-charge ratio of molecules and atoms. Often characteristic ions produced by an induced unimolecular dissociation of a molecule are measured. These

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle Lecture 10 Vacuum Technology and Plasmas Reading: Chapter 10 Vacuum Science and Plasmas In order to understand deposition techniques such as evaporation, sputtering,, plasma processing, chemical vapor

More information

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular Vacuum Technology Vacuum Pumps Two general classes exist: Gas transfer physical removal of matter Mechanical, diffusion, turbomolecular Adsorption entrapment of matter Cryo, sublimation, ion Mechanical

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES* 25th IEEE International Conference on Plasma Science Raleigh, North Carolina June 1-4, 1998 SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(,n) MODES* Ron L. Kinder and Mark J.

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

CHEMICAL VAPOR DEPOSITION (CVD)

CHEMICAL VAPOR DEPOSITION (CVD) CHEMICAL VAPOR DEPOSITION (CVD) A process of formation of a non-volatile solid film on a substrate from the reaction of vapor phase chemical reactants containing the right proportion of constituents. ELEMETRY

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer Huashun Zhang Ion Sources With 187 Figures and 26 Tables Э SCIENCE PRESS Springer XI Contents 1 INTRODUCTION 1 1.1 Major Applications and Requirements 1 1.2 Performances and Research Subjects 1 1.3 Historical

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information