Plasma Technology September 15, 2005 A UC Discovery Project

Size: px
Start display at page:

Download "Plasma Technology September 15, 2005 A UC Discovery Project"

Transcription

1 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology

2 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Sangsup Jeong, Zhu-wen Zhou, Sungjin Kim, Alan Wu, Emi Kawamura, Insook Lee, Joe Vegh (UCB), and John Hoang (UCLA) Workshop & Review September 15, /15/05 - Plasma Technology

3 Coordinated research involving three PI s Michael A. Lieberman (UCB) - Physics of dual/triple frequency capacitive discharge - Theory and kinetic (PIC-MCC) simulations 9/15/05 - Plasma Technology 3 Dual Frequency Capacitive Discharge for Dielectric Etch David B. Graves (UCB) - Chemistry, plasma and neutral transport, and transient effects - Fluid simulations (FEMLAB) and molecular dynamics simulations of fluorocarbon chemistries Jane P. Chang (UCLA) - Profile evolution in SiO 2, porous dielectrics, high-k dielectrics - Feature scale simulations (DSMC) and experiments (SEM)

4 4 Relationships Among the Plasma Projects Lieberman (Theory, PIC-MCC) Reactor-scale experiments Electron energy deposition Graves (Fluid and MD) Reactor-scale experiments Surface-scale experiments Ion energy distribution Ion and neutral fluxes Chang (DSMC) Feature-scale experiments Dielectric etch molecular dynamics Feature level profile evolution and control 9/15/05 - Plasma Technology

5 5 Plasma Sources for Feature Level Compensation and Control Workshop & Review September 15, 2005 Michael A. Lieberman, Allan J. Lichtenberg, John P. Verboncoeur, Sangsup Jeong, Zhu-wen Zhou, Sungjin Kim, Alan Wu, Emi Kawamura UC Berkeley 9/15/05 - Plasma Technology

6 6 Summary of Research (Lieberman) Develop kinetic simulation models of multiple frequency capacitive discharge tools for dielectric etch and deposition Focus on electron energy depositions and ion energy distributions 9/15/05 - Plasma Technology

7 7 1e+16 Full PIC Simulation with Mobile Ions Full PIC Density(x) for p = 30mT, f=27.12 MHz 2 J = 30A/m, s = 5.38 mm rf m (Emi Kawamura) 2 F(v x) at x = 0.9s m for full PIC model (v < 0 is towards sheath) x Density(x) (m-3) 8e+15 6e+15 4e+15 F(v ) x t = 0 t = T/8 t = T/4 t = 3T/8 t = T/2 t = 5T/8 t = 3T/4 t = 7T/8 2e+15 s m. n = n (s ) 0 i m X (m) 0-3e+06-2e+06-1e e+06 2e+06 3e+06 v (m/s) x Good agreement between PIC and analytical models 9/15/05 - Plasma Technology

8 8 Ion Energy Distribution (V 64 = 400V, V 8 + V 2 = 800V) (Allan Wu) IEDF 400-sum(800) Energy (ev) 9/15/05 - Plasma Technology

9 9/15/05 - Plasma Technology 9 Time-average flux ratio of O neutrals to positive ions (Γ O /Γ + ) vs. pulse periods Γ Ο / Γ Global Model with Spatial Variations (Sungjin Kim) Aspect ratio = 1 Aspect ratio = 1/6 1.0E E E E E-03 Pulse period (sec) Duty 50% Duty 25% CW Reducing the aspect ratio to 1/6 results in ~56% of Γ O /Γ + reduction. 25% duty ratio pulse leads to ~27% of Γ O /Γ + reduction at the pulse period of minimum neutral density. Γ Ο / Γ Duty 50% Duty 25% CW 1.0E E E E E-03 Pulse period (sec)

10 10 Ignition of peripheral breakdown and the hysteresis behavior Main discharge only (150 mtorr) (Sungjin Kim) RF voltage vs. peripheral breakdown 100mTorr, Ar = 40sccm, 1/4 inch gap, 27.12MHz Breakdown of periphery Breakdown Main & peripheral discharge (280 mtorr) Confined /15/05 - Plasma Technology Vrf (Volt) When decreasing the rf voltage (V rf ), the maintenance of the peripheral plasma occurs at a lower voltage than that required to create the peripheral discharge

11 L 9/15/05 - Plasma Technology 11 Comparison of theoretical maintenance curve with experimental results g w peri w w I rf V rf I rf V rf V d (V) (Sungjin Kim) ( L = 2.54cm, g = 0.635cm, w = 3.8cm, w peri =5.1cm) Slot with ignited periphery Periphery with ignited plasma in slot Periphery with no plasma in slot Slot with no ignited periphery 75mTorr 100mTorr p (mtorr) Periphery with 1cm diffusion plasma in slot Periphery ignites Confinement restored

12 12 Year 3 Milestones Year 3: January 27, 2006 ~ January 26, 2007 Perform particle-in-cell simulations with dual and/or triple frequency source power to determine ion energy distributions at substrate 9/15/05 - Plasma Technology

13 13 Plasma Sources for Feature Level Compensation and Control Workshop & Review September 15, 2005 David B. Graves, Mark Nierode, Joe Vegh, and Insook Lee UC Berkeley 9/15/05 - Plasma Technology

14 14 Relationships Among the Plasma Projects Lieberman (Theory, PIC-MCC) Reactor-scale experiments Electron energy deposition Graves (Fluid and MD) Reactor-scale experiments Surface-scale experiments Ion energy distribution Ion and neutral fluxes Chang (DSMC) Feature-scale experiments Dielectric etch molecular dynamics Feature level profile evolution and control 9/15/05 - Plasma Technology

15 15 Summary of Research (Graves) Develop fluid simulation models of multiple frequency capacitive discharge tools for dielectric etch and deposition Focus on chemical composition and plasma-surface interactions 9/15/05 - Plasma Technology

16 16 One Dimensional Dual Frequency Fluid Model Results Vs. PIC Results Argon, p = 300 mtorr, 800 V 27 MHz,, 800 V 2 MHz applied at left electrode 27 MHz 2 MHz 0.02 m PIC results from Alan Wu, XPDP1; fluid results from Mark Nierode (graduated 7/05) 9/15/05 - Plasma Technology

17 17 Dual Frequency Results: PIC-Fluid Comparison Argon, p = 300 mtorr, 800 V 27 MHz,, 800 V 2 MHz applied at left electrode 9/15/05 - Plasma Technology

18 18 Dual Frequency Results: PIC-Fluid Comparison Argon, p = 300 mtorr, 800 V 27 MHz,, 800 V 2 MHz applied at left electrode 9/15/05 - Plasma Technology

19 19 Neutral Flow Configuration (Mark Nierode) Commercial tools typically feature dual flow configurations to allow for greater process control (e.g. balance fluorocarbon deposition and etching) Investigate the transport of the tuning gas and its effect on reactor chemistry Pressure ~ 30 mtorr 400/20/9 sccm Ar/c-C 4 F 8 /O sccm O 2 9/15/05 - Plasma Technology

20 20 Effects of Altering O 2 Tuning Gas Flow (Mark Nierode) Propose CF/F as model deposition/etch ratio index Varying the outer O 2 flow (Qtune) the ratio of CF to F can be modified radially although the overall ratio of CF to F changes too 9/15/05 - Plasma Technology

21 21 MD Results (Joe Vegh) Sideviews of Si layers etched with C x F y /F/Ar + ; demonstrates FC film thickness fluctuations at surface 9/15/05 - Plasma Technology

22 22 MD Results (Joe Vegh) Typical clusters emitted from FC surface during etch: implications for FC etch plasma chemistry models at tool and feature scales 9/15/05 - Plasma Technology

23 23 Year 3 Milestones Year 3: January 27, 2006 ~ January 26, 2007 Perform fluid simulations to determine effects on neutral species concentration with dual and/or triple frequency source power Use surface simulations to improve reactor scale and feature scale models 9/15/05 - Plasma Technology

24 24 Plasma Sources for Feature Level Compensation and Control Workshop & Review September 15, 2005 Jane P. Chang, John Hoang UCLA 9/15/05 - Plasma Technology

25 25 Relationships Among the Plasma Projects Lieberman (Theory, PIC-MCC) Reactor-scale experiments Electron energy deposition Graves (Fluid and MD) Reactor-scale experiments Surface-scale experiments Ion energy distribution Ion and neutral fluxes Chang (DSMC) Feature-scale experiments Dielectric etch molecular dynamics Feature level profile evolution and control 9/15/05 - Plasma Technology

26 26 Summary of Research (Chang) Develop a Monte Carlo based profile simulator to predict the feature evolution during shallow trench isolation (STI) Focus on the effect of ion energy, neutralto-ion ratios, and surface scattering 9/15/05 - Plasma Technology

27 27 Definition of Desired STI Profile ITRS dictates stringent conditions for optimal trench isolation as minimum feature size decreases Positive trench tapering angles desired to avoid sharp recesses leading to poly wrap-around Smooth sidewalls needed for less physical and electrical damage Round bottom corners to minimize stress and avoid voids in gapfill Nag, S. and Chatterjee, A. Solid State Technology. 40 (9), p129. Sept PR nitride oxide Silicon Isolation stack Pattern nitride and strip PR Trench etch SEM Measured Parameters D 1 Nitride SWA Sidewall oxidation and deposit trench oxide CMP planarization Strip nitride and remove pad oxide tx 1 (nitride) D 2 Desired Properties: tx 2 (top Si) tx 3 (bot Si) D 3 Total Si Depth D 4 > D 2 /2 θ nitride = 90º arctan[(d 1 -D 2 )/2/tx 1 ] θ top Si = 90º arctan[(d 2 -D 3 )/2/tx 2 ] D 4 top Si SWA θ bot Si = 90º arctan[(d 3 -D 4 )/2/tx 3 ] bot Si SWA Recess < 0.1 D 2 SWA: sidewall angle Adapted from ITRS 2003 Thermal Films Supplemental 9/15/05 - Plasma Technology Curvature: r Nitride top = r Si bottom = 0.1 D 2

28 28 AMAT DP SII Reactor Setup (John Hoang) I outer I inner Coil Power W s Parameters examined for STI etch W s Chamber Pressure (mtorr) Source Power (W s ) Cl 2 N 2 O 2 Pressure Wafer bias (W bias ) DC ratio = I outer /I inner Cl 2 flowrate (sccm) N 2 flowrate (sccm) Substrate Bias W bias O 2 flowrate (sccm) (Courtesy of Helena Stadniychuk at Cypress) 9/15/05 - Plasma Technology

29 29 Major Enhancements in the Simulator Implemented ion etching yield dependence as a function of ion energy Implemented ion energy distribution and scattering function (to be enhanced with real experimental or plasma simulation results -Collaboration with Graves and Lieberman ) Gas cell (John Hoang) Etching Yield (Si/Cl+) number of ions Flux Ratio (Cl/Cl + ) Ion energy (ev) 235eV Cl + 195eV Cl + 155eV Cl + 115eV Cl + 75eV Cl + 55eV Cl + 35eV Cl + Solid interface cell Implemented sloped sidewalls Solid noninterface cell Least squares method normals 9/15/05 - Plasma Technology Determined surface normals using least squares regression fit to center of cells considered effective rounding of corners

30 30 Simulation Details (John Hoang) Parameters affecting profile evolution: plasma chemistry (Cl 2, HBr, O 2, ) plasma composition (Cl, Cl 2+, Cl +, O, ) electron temperature and distribution (T e and EEDF n i, n n, ) substrate bias (W s E ion ) substrate temperature (T sub ) Baseline Conditions: initial aspect ratio: 0.55 ion angular distribution (IAD) FWHM: 5.3º ion energy distribution (IED) FWHM: 23.5 ev ion energy: 200 ev neutral to ion ratio: 100 selectivity of nitride to Si: /15/05 - Plasma Technology

31 31 Comparison of Simulation with Experiments DOE DOE pressure (mtorr) Ws (W) Wb (W) DC ratio Cl2 (sccm) N2 (sccm) O2 (sccm) Similar plasma densities Substrate bias governs the etch depth (John Hoang) DOE DOE pressure (mtorr) Ws (W) Wb (W) DC ratio Cl2 (sccm) N2 (sccm) O2 (sccm) High density versus low density plasmas Plasma composition controls profile evolution Simulation on-going 9/15/05 - Plasma Technology (significantly different sidewall slope could be due to a change in plasma composition)

32 32 Year 3 Milestones Year 3: January 27, 2006 ~ January 26, 2007 Validate the profile simulation results with additional experiments planned based on analysis of the design of experiments Incorporate experimentally determined ion energy distribution Extend the simulation capability to study dielectric etch 9/15/05 - Plasma Technology

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston 1 Outline Introduction PIC-MCC simulation of tailored bias on boundary electrode Semi-analytic model Comparison

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Plasma Team s Focus IMPACT 1. Sponsors. Graves Beam and MD. Lieberman PIC-MCC. Chang Beam and DSMC. Reactor Scale Model

Plasma Team s Focus IMPACT 1. Sponsors. Graves Beam and MD. Lieberman PIC-MCC. Chang Beam and DSMC. Reactor Scale Model Plasma Team s Focus Couple models at various scales to predict profile evolution Build even stronger interactions between PIs and sponsors Key Projects Develop fast algorithms to determine energy/angular

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES Michael A. Lieberman University of California, Berkeley lieber@eecs.berkeley.edu DOE Center on Annual Meeting May 2015 Download this talk: http://www.eecs.berkeley.edu/~lieber

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* Junqing Lu and Mark J. Kushner Department of Electrical and Computer Engineering at Urbana-Champaign mjk@uiuc.edu, jqlu@uiuc.edu

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

An Improved Global Model for Electronegative Discharge and Ignition Conditions for Peripheral Plasma Connected to a Capacitive Discharge

An Improved Global Model for Electronegative Discharge and Ignition Conditions for Peripheral Plasma Connected to a Capacitive Discharge An Improved Global Model for Electronegative Discharge and Ignition Conditions for Peripheral Plasma Connected to a Capacitive Discharge Sungjin Kim Electrical Engineering and Computer Sciences University

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Control of Ion Energy Distributions on Plasma Electrodes

Control of Ion Energy Distributions on Plasma Electrodes Control of Ion Energy Distributions on Plasma Electrodes P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston DOE Plasma Science Center Teleseminar, February

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

Plasma Chemistry and Kinetics in Low Pressure Discharges

Plasma Chemistry and Kinetics in Low Pressure Discharges Plasma Chemistry and Kinetics in Low Pressure Discharges Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland tumi@hi.is 12o. Encontro Brasileiro de Física de Plasmas Brasilia, Brazil

More information

MODELING PLASMA PROCESSING DISCHARGES

MODELING PLASMA PROCESSING DISCHARGES MODELING PROCESSING DISCHARGES M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators: E. Kawamura, D.B. Graves, and A.J. Lichtenberg,

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston Acknowledgements: DoE Plasma Science Center, NSF Presented at the 57 th AVS Conference, Albuquerque, NM

More information

NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE

NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators:

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 31, NO. 4, AUGUST 2003 703 Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects Hye Sang Park, Sung Jin Kim,

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING NARRW GAP ELECTRNEGATIVE CAPACITIVE DISCHARGES AND STCHASTIC HEATING M.A. Lieberman Deartment of Electrical Engineering and Comuter Sciences University of California Berkeley, CA 9472 Collaborators: E.

More information

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES MWP 1.9 MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES Insook Lee, D.B. Graves, and M.A. Lieberman University of California Berkeley, CA 9472 LiebermanGEC7 1 STANDING WAVES

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595 Dual-RadioFrequency Capacitively-Coupled Plasma Reactors Tomás Oliveira Fartaria nº58595 Index Capacitive Reactors Dual Frequency Capacitively-Coupled reactors o Apparatus for improved etching uniformity

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma

Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma JOURNAL OF APPLIED PHYSICS VOLUME 95, NUMBER 7 1 APRIL 2004 Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

PIC-MCC simulations for complex plasmas

PIC-MCC simulations for complex plasmas GRADUATE SUMMER INSTITUTE "Complex Plasmas August 4, 008 PIC-MCC simulations for complex plasmas Irina Schweigert Institute of Theoretical and Applied Mechanics, SB RAS, Novosibirsk Outline GRADUATE SUMMER

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING NARRW GAP ELECTRNEGATIVE CAPACITIVE DISCHARGES AND STCHASTIC HEATING M.A. Lieberman, E. Kawamura, and A.J. Lichtenberg Department of Electrical Engineering and Computer Sciences University of California

More information

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741 Measurement of electron temperatures and electron energy distribution functions in dual frequency capacitively coupled CF 4 /O 2 plasmas using trace rare gases optical emission spectroscopy Zhiying Chen,

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges

Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges Emi KawamuraDe-Qi WenMichael A. Lieberman and Allan J. Lichtenberg Citation: Journal of Vacuum

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

The Role of Secondary Electrons in Low Pressure RF Glow Discharge

The Role of Secondary Electrons in Low Pressure RF Glow Discharge WDS'05 Proceedings of Contributed Papers, Part II, 306 312, 2005. ISBN 80-86732-59-2 MATFYZPRESS The Role of Secondary Electrons in Low Pressure RF Glow Discharge O. Brzobohatý and D. Trunec Department

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Lizhu Tong Keisoku Engineering System Co., Ltd., Japan September 18, 2014 Keisoku Engineering System Co., Ltd., 1-9-5 Uchikanda,

More information

Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge

Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge S. Sharma Institute for Plasma Research, Gandhinagar -382428,

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

ADVENTURES IN TWO-DIMENSIONAL PARTICLE-IN-CELL SIMULATIONS OF ELECTRONEGATIVE DISCHARGES

ADVENTURES IN TWO-DIMENSIONAL PARTICLE-IN-CELL SIMULATIONS OF ELECTRONEGATIVE DISCHARGES ADVENTURES IN TWO-DIMENSIONAL PARTICLE-IN-CELL SIMULATIONS OF ELECTRONEGATIVE DISCHARGES PART 1: DOUBLE LAYERS IN A TWO REGION DISCHARGE E. Kawamura, A.J. Lichtenberg, M.A. Lieberman and J.P. Verboncoeur

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois

More information

Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition

Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition W. Zou, a) H. N. G. Wadley, and X. W. Zhou Department of Materials Science and Engineering,

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

Plasma Modeling with COMSOL Multiphysics

Plasma Modeling with COMSOL Multiphysics Plasma Modeling with COMSOL Multiphysics Copyright 2014 COMSOL. Any of the images, text, and equations here may be copied and modified for your own internal use. All trademarks are the property of their

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

Electron Current Extraction and Interaction of RF mdbd Arrays

Electron Current Extraction and Interaction of RF mdbd Arrays Electron Current Extraction and Interaction of RF mdbd Arrays Jun-Chieh Wang a), Napoleon Leoni b), Henryk Birecki b), Omer Gila b), and Mark J. Kushner a) a), Ann Arbor, MI 48109 USA mkush@umich.edu,

More information

The Plasma Simulation System Brochure.

The Plasma Simulation System Brochure. The Plasma Simulation System 2018 Brochure www.quantemol.com Benefits of Q-VT An experimentally validated simulation system focused on modelling plasma tools User-friendly interface Sets of validated cross-sections

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

The plasma simulation system Brochure.

The plasma simulation system Brochure. 1 The plasma simulation system 2016 Brochure www.quantemol.com 2 What does Q-VT do? Quantemol-Virtual Tool is an expert software system for the simulation of industrial plasma processing tools. Q-VT builds

More information

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Korean J. Chem. Eng., 0(), 407-413 (003) Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Jung-Hyun Ryu, Byeong-Ok Cho, Sung-Wook Hwang, Sang Heup Moon and Chang-Koo Kim*

More information

NANOELECTRONICS AND PLASMA PROCESSING THE NEXT 15 YEARS AND BEYOND

NANOELECTRONICS AND PLASMA PROCESSING THE NEXT 15 YEARS AND BEYOND NANOELECTRONICS AND PROCESSING THE NEXT 15 YEARS AND BEYOND M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Download this talk: http://www.eecs.berkeley.edu/

More information

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp Chapter 8 Summary and outlook In the present work reactive plasmas have been investigated by comparing experimentally obtained densities with the results from a simple chemical model. The studies have

More information

RECENT PROGRESS ON THE PHYSICS

RECENT PROGRESS ON THE PHYSICS RECENT PROGRESS ON THE PHYSICS OF CAPACITIVE DISCHARGES M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Download this talk: http://www.eecs.berkeley.edu/

More information

A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING

A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING Michael A. Lieberman Department of Electrical Engineering and Computer Science, CA 94720 LiebermanMinicourse07 1 OUTLINE

More information

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS ABSTRACT Title: COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS Bryan J. Orf, Masters of Science, 2006 Directed By: Professor Gottlieb S. Oehrlein, Department of Material Science and

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

arxiv: v1 [physics.plasm-ph] 10 Nov 2014

arxiv: v1 [physics.plasm-ph] 10 Nov 2014 arxiv:1411.2464v1 [physics.plasm-ph] 10 Nov 2014 Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/MCC simulations of capacitively coupled plasmas A. Derzsi 1, I. Korolov

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information