INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Size: px
Start display at page:

Download "INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL"

Transcription

1 46 th AVS International Symposium Oct , 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials Science and Engineering **Department of Electrical and Computer Engineering University of Illinois Urbana, IL dazhang@uiuc.edu mjk@uiuc.edu Work supported by SRC and LAM Research Corporation.

2 AGENDA Introduction Description of the Model - Hybrid Plasma Equipment Model (HPEM) - Surface Kinetics Module (SKM) C 2 F 6 etching of Si - Reactor wall temperature C 2 F 6 etching of SiO 2 - Substrate bias Summary AVS99-1

3 INTRODUCTION Fluorocarbon (C m F n ) plasmas are widely used for Si/SiO 2 etching due to their favorable kinetic properties and high selectivity. CF x radicals passivate the wafer surface and so influence etching behavior. The passivation thickness depends on wafer materials, plasma-wall interactions, and processing parameters. Our goal: - Develop a surface reaction model - Couple the surface model with the bulk plasma simulator - HPEM - Quantitatively investigate the surface reaction mechanisms - Optimize the processes GEC99-2

4 HYBRID PLASMA EQUIPMENT MODEL (HPEM) Modular simulator addressing low temperature, low pressure plasmas. EMM calculates electromagnetic fields and magneto-static fields. EETM computes electron impact source functions and transport coefficients. FKM derives the densities, momentum and temperature of plasma species. VPEM shell can be added to HPEM for process control. Electro- Magnetic Module (EMM) E(r,z) B(r,z) Electron Energy Transport Module (EETM) S(r,z), Te(r,z) m(r,z) Fluidchemistry Kinetics Module (FKM) V(r,z) n(r,z) F(r,z) V(r,z) n(r,z) F(r,z) VPEM: Sensors, Controller, Actuators GEC99-3

5 SURFACE KINETICS MODEL (SKM) The Surface Kinetics Model (SKM) is an integrated module of the HPEM. The SKM - uses reactant fluxes from the HPEM - applies a user defined reaction mechanism - updates surface sticking and product reflection coefficient for the HPEM - calculates surface coverages and etch rates Reaction Mechanism Φ i HPEM (1-S i )Φ i SKM Etch Rate f j Φ j The SKM is a multi-layer surface site balance model at every mesh point along the plasma-surface boundary. GEC99-4

6 C m F n PLASMA: SURFACE REACTIONS HPEM Surface Reaction Mechanism Incident Species/Fluxes Initial Conditions Surface Composition SKM Reflecting Species/Fluxes Surface Species/Coverage Deposition/Etching Rate AVS99-5

7 ENERGY DEPENDENCE OF REACTION PROBABILITY All surface reactions involving ion reactants in the SKM allow for ion energy dependence. Ions are accelerated to the surface through the sheath, arriving on the surface with energy of E ion = Q f(r) V sh (r) where Q: Ion charge. f(r): Ratio of ion mean free path to sheath thickness. V sh (r): Sheath voltage drop. Surface reactions have a general energy dependence given by K = K (E ion n - E thn ) / (E ref n - E thn ) where E ion : Incident ion energy. K : Etching yield or reaction probability for ion with energy E ref. E th : Threshold energy for the process. n: Energy dependence (1/2 for this work). GEC99-6

8 POLYMER LAYER PASSIVATION During Si/SiO 2 etching by fluorocarbon plasmas, a C m F n polymer layer will simultaneously deposit on the surface of the wafer and the reactor wall. The SKM allows the growth of the passivation layer by CF x radical polymerization on the surface. F atoms etching and energetic ion sputtering can consume the layer. The steady state passivation thickness is reached when the film generation and consumption rates are balanced. SKM uses passivation thickness dependent rates for mass and energy transfers through the layer. AVS99-7

9 C 2 F 6 ETCHING of Si Simulations of C 2 F 6 etching of Si in an ICP reactor were performed. Representative gas phase reactions: e + C 2 F 6 CF CF 3 + e + e e + C 2 F 6 CF 3 + CF 3 + e e + CF 3 CF 2 + F + e e + CF 3 CF 2 + F + F - e + CF 4 CF 2 + F + F + e e + CF 4 CF F + e + e F + F + M F 2 + M CF 3 + CF 3 + M C 2 F 6 + M CF 2 + F 2 CF 3 + F CF 3 + F 2 CF 4 + F CF 2 + CF 3 C 2 F 5 C 2 F 5 + F CF 3 + CF 3 Height (cm) 1 5 rf bias Electron Density Coils Showerhead (C 2 F 6 ) Wafer Wall Radius (cm) 1.9E E9 (cm -3 ) CF 3 + F CF 4 CF 2 + F CF 3 C 2 F 6, 1 mtorr, 2 sccm, 65 W ICP, 1 V bias AVS99-8

10 REACTION MECHANISM FOR C 2 F 6 ETCHING OF Si The reaction mechanism was based on the work of G. S. Oehrlein et al *. A C x F y polymer layer is formed on the Si surface in coincidence with Si etching. The steady state passivation layer thickness is a balance of CF x deposition, ion sputtering and F etching of the layer. Si etching precursor (F) needs to diffuse through the passivation layer. CF x F I + (Accelerated) Film Growth CF 4 SiF 4 Film Etch F Diffusion F Si Etch CF x Sputtering Plasma C x F y Passivation Layer Si AVS99-9 * T.E.F.M. Standert, M.Scharkens, N.R.Rueger, P.G.M. Sebel, and G.S. Oehrlein, J. Vac. Sci. Technol. A 16(1), 239 (1998)

11 RADICAL DENSITIES: BASE CASE Radical densities peak on the axis. The less uniform CF 2 density distribution is due to its higher loss rate at the reactor wall. CF 2 Density F Density 4.39E E14 Height (cm) 1 5 Height (cm) Radius (cm) 7.31E E12 (cm -3 ) (cm -3 ) Radius (cm) C 2 F 6, 1 mtorr, 2 sccm, 65 W ICP, 1 V bias. AVS99-1

12 RADIAL DISTRIBUTIONS: FLUXES & ETCH RATE Radial flux distribution of CF 2 is less uniform than that for F as a consequence of the low sticking coefficient for F. Polymer thickness follows the CF 2 flux for this case. Etch rate increases in the radial direction, inversely to the polymer thickness due to F atom diffusion. F, CF 2 Fluxes (cm -2 s -1 ) CF3 + (1 16 ) CF 2 (1 16 ) F (1 17 ) Radius (cm) CF 3 + Fluxes (cm -2 s -1 ) Etch Rate (A/min) Polymer Etch Rate Radius (cm) Polymer Layers AVS99-11

13 INFLUENCE OF WALL TEMPERATURE Experiments showed a variation of radical densities vs. T wall.* We simulated the consequence of varying T wall by modifying the sticking coefficient of CF 2 to the wall. With increasing T wall, the CF 2 loss rate is smaller due to the lower sticking coefficient, which produces an increase of CF 2 density in the bulk plasma. The resulting gas chemistry favors consumption of F atoms. So F density drops. Normalized Intensity F 1..9 Experiment*.8.7 CF Wall Temperature ( o C) Normalized Density F 1..9 Simulation.8.7 CF Sticking Coef.(CF 2 ) T wall * M.Scharkens, R.C.M. Bosch, and G.S. Oehrlein, J. Vac. Sci. Technol. A 16(1), 239 (1998) AVS99-12

14 PASSIVATION LAYER AND ETCH RATE As wall temperature increases, the increased CF 2 density produces larger fluxes to the wafer. This leads to a thicker passivation layer. The diffusion flux of the Si etching precursor (F) through the passivation layer decreases with increasing passivation, so the etch rate drops. Passivation Layers Φ-CF 2 Passivation T wall Sticking Coef.(CF 2 ) Φ-CF 2 (1 17 cm -2 -S -1 ) Etch Rate (Å/min) 4 T wall Sticking Coef.(CF 2 ) GEC99-13

15 REACTION MECHANISM FOR C 2 F 6 ETCHING OF SiO 2 The reaction mechanism for SiO 2 etching is based on: Growth of C x F y Passivation layer (balance of deposition and consumption). Formation of complex at the interface between oxide and passivation layer resulting from chemisorption of CF x. Ion activated (through passivation layer) etching of complex. Rate of activation scales inversely with passivation layer thickness. Diffusion of etch precursor and etch product. AVS99-14 Plasma C x F y Passivation Layer SiO 2 CF x CF x Film Growth F F CF 4 Film Etching SiO 2 Ι + CF x CF 4 Sputtering F CO 2 Energy Transfer SiF 4 Diffusion F SiF x Etch CF x Ι+ CO 2 Ι +, F SiO 2 SiF x CO 2 SiF x SiF 4 Etch

16 SURFACE COVERAGE The wafer surface sites are occupied by several surface species. The surface coverages at steady state depend on the relative rates of - complex formation - Ion activation - F atom etching - Sputtering.5 Fractional Coverage SiF 3 SiO 2 SiF 2 SiF 2 CO 2 C 2 F 6, 1 mtorr 1 sccm 65 W ICP 1 V bias Radius (cm) AVS99-15

17 SUBSTRATE BIAS With increasing substrate bias, the passivation layer thickness decreases and the etch rate increases. Simulations and experiments obtained similar trends. Passivation Layers AVS C 2 F 6, 1 mtorr Rate Simulation Passivation Substrate Bias (V) Etch Rate (nm/min) Passivation Thickness (nm) CHF 3, 1 mtorr * Substrate Bias (V) Rate Experiment Passivation *N.R. Rueger, G.S. Oehrlein et al, J. Vac. Sci. Technol. A 15, 1881 (1997) Etch Rate (nm/min)

18 SUBSTRATE BIAS With increasing bias, the variation in surface coverage is not big when the processes are not limited by the F atom diffusion. The normalized reactive ion etch efficiency increases with increasing substrate bias due to the increase in sheath voltage and decrease in passivation thickness. Fractional Coverage SiF 3 _s SiO 2 _s.1 4 RIE Efficiency Substrate Bias (V) Normalized RIE Efficiency Normalized RIE Efficiency V sheath 2 ( 1+.3 [ P _ s]) AVS99-17

19 SUMMARY The Surface Kinetics Model (SKM) has been coupled with the HPEM to simulate surface interactions and their influences on the bulk plasma. In Si etching, higher loss of CF 2 on reactor walls leads to lower CF 2 density in the gas phase. This produces thinner passivation layers and higher etch rates. Consumption of C x F y at the polymer-sio 2 interface during the SiO 2 etching leads to thinner passivation compared with Si etching. In SiO 2 etching, with increasing substrate bias, the surface coverages change little when the process is not limited by F diffusion. Increasing bias leads to higher sheath voltage and thinner passivation, so higher RIE efficiency. The etch rate increases accordingly. AVS99-18

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES* 51th Gaseous Electronics Conference & 4th International Conference on Reactive Plasmas Maui, Hawai i 19-23 October 1998 OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES* 25th IEEE International Conference on Plasma Science Raleigh, North Carolina June 1-4, 1998 SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(,n) MODES* Ron L. Kinder and Mark J.

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT *

SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT * SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT * JULINE_ICOPS09_01 Juline Shoeb a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering Iowa

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES*

CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES* CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES* Kapil Rajaraman**, Alex Vasenkov*** and Mark J. Kushner*** **Department of Physics

More information

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1 ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1 Alex V. Vasenkov 2, and Mark J. Kushner Department of Electrical and Computer Engineering Urbana, IL

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

A3D Hybrid Model of ahelicon Source +

A3D Hybrid Model of ahelicon Source + A3D Hybrid Model of ahelicon Source + Eric R. Keiter* and Mark J. Kushner** Department of Electrical and Computer Engineering 146 W. Green St., Urbana, IL 6181 USA Http://uigelz.ece.uiuc.edu 1st Gaseous

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS*

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* Kapil Rajaraman** and Mark J. Kushner*** **Department of Physics ***Department of Electrical and

More information

Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study

Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study Mark J. Kushner a) University of Illinois, Department of Electrical and Computer Engineering,

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES*

A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES* A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES* Kapil Rajaraman** and Mark J. Kushner*** **Department of Physics ***Department of Electrical and

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor Hao Deng, Z. Li, D. Levin, and L. Gochberg Department of Aerospace Engineering The Pennsylvania State

More information

I. INTRODUCTION J. Vac. Sci. Technol. A 16 4, Jul/Aug /98/16 4 /2454/9/$ American Vacuum Society 2454

I. INTRODUCTION J. Vac. Sci. Technol. A 16 4, Jul/Aug /98/16 4 /2454/9/$ American Vacuum Society 2454 Consequences of three-dimensional physical and electromagnetic structures on dust particle trapping in high plasma density material processing discharges Helen H. Hwang, a) Eric R. Keiter, b) and Mark

More information

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* Junqing Lu and Mark J. Kushner Department of Electrical and Computer Engineering at Urbana-Champaign mjk@uiuc.edu, jqlu@uiuc.edu

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Trench filling by ionized metal physical vapor deposition

Trench filling by ionized metal physical vapor deposition Trench filling by ionized metal physical vapor deposition Junqing Lu a) and Mark J. Kushner b) University of Illinois, 1406 W. Green Street, Urbana, Illinois 61801 Received 25 January 2001; accepted 16

More information

Angular anisotropy of electron energy distributions in inductively coupled plasmas

Angular anisotropy of electron energy distributions in inductively coupled plasmas JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Angular anisotropy of electron energy distributions in inductively coupled plasmas Alex V. Vasenkov a) and Mark J. Kushner b) Department of

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

PLASMA processing (etching, deposition, cleaning) is one

PLASMA processing (etching, deposition, cleaning) is one 486 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 3, AUGUST 1998 Virtual Plasma Equipment Model: A Tool for Investigating Feedback Control in Plasma Processing Equipment Shahid Rauf, Member,

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 A Working Electron Impact Cross Section Set for CHF 3 Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 Abstract Trifluoromethane, CHF 3, is used for plasma etching

More information

Effect of sputter heating in ionized metal physical vapor deposition reactors

Effect of sputter heating in ionized metal physical vapor deposition reactors JOURNAL OF APPLIED PHYSICS VOLUME 87, NUMBER 10 15 MAY 2000 Effect of sputter heating in ionized metal physical vapor deposition reactors Junqing Lu a) Department of Mechanical and Industrial Engineering,

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

The Plasma Simulation System Brochure.

The Plasma Simulation System Brochure. The Plasma Simulation System 2018 Brochure www.quantemol.com Benefits of Q-VT An experimentally validated simulation system focused on modelling plasma tools User-friendly interface Sets of validated cross-sections

More information

The plasma simulation system Brochure.

The plasma simulation system Brochure. 1 The plasma simulation system 2016 Brochure www.quantemol.com 2 What does Q-VT do? Quantemol-Virtual Tool is an expert software system for the simulation of industrial plasma processing tools. Q-VT builds

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

A time dependent propagator method for long mean free path transport of neutral particles in plasma processing reactors

A time dependent propagator method for long mean free path transport of neutral particles in plasma processing reactors A time dependent propagator method for long mean free path transport of neutral particles in plasma processing reactors Wen-yi Tan, Robert J. Hoekstra, and Mark J. Kushner a) Department of Electrical and

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

The Plasma Simulation System Brochure.

The Plasma Simulation System Brochure. The Plasma Simulation System 2019 Brochure www.quantemol.com Company Profile Quantemol was founded by Prof. Jonathan Tennyson FRS (right) and Dr Daniel Brown in 2004. We develop unique software tools that

More information

Model for noncollisional heating in inductively coupled plasma processing sources

Model for noncollisional heating in inductively coupled plasma processing sources Model for noncollisional heating in inductively coupled plasma processing sources Shahid Rauf a) and Mark J. Kushner b) Department of Electrical and Computer Engineering, University of Illinois, 1406 West

More information

Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources

Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources Ronald L. Kinder a) and Mark J. Kushner b) Department of Electrical and Computer Engineering,

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Shapes of agglomerates in plasma etching reactors

Shapes of agglomerates in plasma etching reactors Shapes of agglomerates in plasma etching reactors Fred Y. Huang a) and Mark J. Kushner b) University of Illinois, Department of Electrical and Computer Engineering, 1406 West Green Street, Urbana, Illinois

More information

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources Multi-fluid Simulation Models for Inductively Coupled Plasma Sources Madhusudhan Kundrapu, Seth A. Veitzer, Peter H. Stoltz, Kristian R.C. Beckwith Tech-X Corporation, Boulder, CO, USA and Jonathan Smith

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

The effect of radio frequency plasma processing reactor circuitry on plasma characteristics

The effect of radio frequency plasma processing reactor circuitry on plasma characteristics JOURNAL OF APPLIED PHYSICS VOLUME 83, NUMBER 1 15 MAY 1998 The effect of radio frequency plasma processing reactor circuitry on plasma characteristics Shahid Rauf a) and Mark J. Kushner b) Department of

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

Argon metastable densities in radio frequency Ar, Ar/O 2 and Ar/CF 4 electrical discharges

Argon metastable densities in radio frequency Ar, Ar/O 2 and Ar/CF 4 electrical discharges Argon metastable densities in radio frequency Ar, Ar/O 2 and Ar/CF 4 electrical discharges Shahid Rauf a) and Mark J. Kushner b) Department of Electrical and Computer Engineering, University of Illinois,

More information

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures Journal of Physics: Conference Series Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures To cite this article: Rizwan Malik et al 2011 J. Phys.: Conf. Ser.

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Plasma Modeling with COMSOL Multiphysics

Plasma Modeling with COMSOL Multiphysics Plasma Modeling with COMSOL Multiphysics Copyright 2014 COMSOL. Any of the images, text, and equations here may be copied and modified for your own internal use. All trademarks are the property of their

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Modeling of MEMS Fabrication Processes

Modeling of MEMS Fabrication Processes Modeling of MEMS Fabrication Processes Prof. Duane Boning Microsystems Technology Laboratories Electrical Engineering and Computer Science Massachusetts Institute of Technology September 28, 2007 Spatial

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS Plasma Surface Kinetics 67 PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS e _ Transport to Surface Adsorpt from Precursor Main Gas Flow Reg Radicals Desorpt

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions Graves Lab Department of Chemical and Biomolecular Engineering University of California, Berkeley Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions N. Ning, and D. B. Graves Department of

More information