Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Size: px
Start display at page:

Download "Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control"

Transcription

1 Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of Houston Acknowledgements: DoE Plasma Science Center, NSF 1

2 Outline Parallel nano-patterning with a monoenergetic, low- energy ion beam. Using the monoenergetic, low-energy ion beam to do etching in the plasma. Details on generation e the monoenergetic, oee low-energy e ion flux with pulsed plasmas and synchronous bias. Discovery of an important new cause of anisotropic etching: indigenous photo-assisted etching (PAE). 2

3 Pantograph Mechanical device used to copy drawings. Can be on a different scale 3

4 Nanopantography Side View: Broad area ion beam V b TopView: metal, V m dielectric conducting film or substrate, V s Essential components: Parallel, monoenergetic ion beam and electrostatic lenses built on the wafer Sub-10nm patterning simultaneously; Self-alignment, immune to vibration and thermal expansion; Etching (Ar+), deposition (M+). 4

5 Nanopantography: write any desired nanopatterns simultaneously Whatever is written once on the imaginary plane here is reproduced at the bottoms of all the lenses here. Side view Top view 5

6 Setup of Nanopantography for Si etching Matching Network Ion source Ar pulsed plasma Collimated, monoenergetic Ar + beam Etching Chamber Lens array voltage Cl 2 effusive beam Sample with lens array 6

7 Details of Sample Tilting Stage External gear In-vacuum gear Sample Grounded mesh Lens sample Loadlock External motor In-vacuum motor Motorized stage To pump 7

8 Extract Ion Beams with Desired Narrow Energy Spread ON 0 V Pulsed Plasma +100 V OFF Pulsed plasma Ring acceleration voltage Extract ions at low T e and T i in afterglow decreases energy spread and increases the directionality of ions Ring acceleration voltage (high-voltage pulse): Set the desired ion beam energy. 8

9 Nearly Monoenergetic Ar Ion Beam The energy spread was 3.4 ev (FWHM) for a peak ion beam energy of ev. Normal lized IED dc bias: 30 V ; 50 V 70 V; 100 V 30.5 ev 51.0 ev 71.5 ev (b) ev Ion energy (ev) IED (A.U.) Better RFA resolution. V peak =99 ev FWHM= 22eV Ion energy (ev) Lin Xu, Demetre J. Economou, Vincent M. Donnelly, and Paul Ruchhoeft, Appl. Phys. Lett. 87, (2005). 9

10 Etching results with Cl 2 and monoenergetic Ar + beams (ion incidence angle: normal and 5 degree) SEM Top view of lens array L. Xu et al. Nano Letters, 5, 2563 (2005). Cr layer (top electrode) Lens bottom surface TEM HAADF-STEM, dots b) ~110 nm 950 nm 20 nm 10 nm 50 nm Size reduction factor of ~95X Focused point can be displaced along the substrate surface 10

11 Setup of nanopantography for Ni deposition Ni target Plasma source Ar pulsed plasma Ni coil Pulsed Ni lamp Optical diagnostics (OAS and OES) Pumping Drift tube Pumping Collimated Ni + and Ar + ions with energy spread 1.2 ev for 100 ev beam L. Xu, et al. APL. 87, (2005). Deposition chamber Lens array voltage Sample with lens (ion landing energy: 20eV) 11

12 SEM images (top view) of sample after Ni deposition Normal Incidence Ni + Dark: bottom surface of lens 10nm 700 nm Bright: Metal top electrode The focusing ration is 70X for the Ni nanodots. Height = 5-10 nm by AFM. 12

13 Etching of Si with Cl2 and Ar+ beams: X-tilting Etching of Si with Cl2 and Ar+ beams: X, Y-tilting 13

14 Another Motivation for Precise Control of IED Chemical Physical Sputtering E th (I) Low energy ions : No reaction occurs except for pure chemical etching, if any. Sputtering E th (II) High energy ions: chemical sputtering, sub-surface ion penetration, physical sputtering not well-controlled etching, substrate damage. (I) (III) (II) (III) Medium energy ions: Only chemical sputtering. More confined to surface. Etching slows or stops when adsorbed d etchant reacts away. minimum damage, layer-by-layer etching. Layer-by-layer etching, high selectivity, and no substrate damage are/will be critical requirements. To achieve these, one needs to control the IED. Accurate control of peak ion energy. Narrow width of IED. Work with medium energy ions (region III). 14

15 Apparatus for Controlled Ion Energy Faraday-shielded ICP. Plasma power as well as voltage on Boundary Electrode can be pulsed. Diagnostics : Langmuir Probe, Ion Energy Analyzer, OES. H. Shin et al., Plasma Sources Sci. Technol. 20 (2011)

16 Normalized IEDs in cw Ar ICP with different continuous DC bias voltages applied to the boundary electrode Normaliz zed IED V -4V Ground +4V +8V +12V V p measured by Langmuir probe W, 14 mtorr Ar Energy (ev) 16

17 (ev) T e Electron Temperatures and Number Densities in Different Rare Gas Pulsed ICPs ON 11 OFF Experiment Model Ar Ar Kr Kr Xe Xe Time ( s) n e (cm -3 ) 1x x x x x x x x x x10 11 (a) ON OFF Ar Kr Xe time ( s) T e decays more slowly in heavier gas due to slower e-diffusion rate. n e larger in heavier gas due to faster ionization and slower ion diffusion rates. n e (measured at the edge of the plasma) hardly decays in 80 s. 17

18 Comparison of Measured and Modeled Decay of Electron Density in Afterglow of Pulsed Ar ICP 1.4x10 11 ne 1.2x x10 11 Density (cm -3 ) 8.0x x x10 10 n Ar+ model 2.0x Time ( s) Model in good agreement with experiment. The near-constant plasma density ~80 s into the afterglow is due to diffusion of positive ions form the high density region near the center of the ICP to the edge. 18

19 Ion Energy Distributions (IED) in Different Rare Gas Pulsed ICPs 0.5 Ar Kr 0.4 Xe Vdc s after power off (a) IED Energy (ev) V p = V p_i -V p_f = 2.6, 2.2,1.8 V for Xe, Kr, Ar Flux order Xe > Kr > Ar because of higher n e and afterglow T e in heavier gas. IED width Xe > Kr > Ar because of higher afterglow T e and V p in heavier gas. 19

20 Normalized IEDs in pulsed Ar ICP with different continuous DC bias voltages applied to the boundary electrode Norma alized IED eV 4eV +4V +8V +12V Ground -4V -8V 4eV 4eV Energy (ev) 112 W average power, MHz, 10kHz, 20% duty cycle, 14 mtorr Ar 20

21 Normalized IEDs in pulsed Ar ICP with different synchronized DC bias voltages applied to the boundary electrode Distributi ion Time-Avera raged Ion Energy Ar press. (mtorr): Energy (ev) 21

22 Pulsed Plasma, Monoenergetic IEDs for Si Etching Gas inlet Boundary Electrode Faraday Shield RF pulsing system Time-Averaged Io on Energy Distribu ution Ar pressures 7 mtorr 14 mtorr 28 mtorr 50 mtorr Energy (ev) Matching network Periscope for OES Monochromator substrate for etching Faraday-shielded ICP. Plasma power as well as voltage on Boundary Electrode can be pulsed. Diagnostics : Langmuir Probe, Ion Energy Analyzer, OES. H. Shin et al., Plasma Sources Sci. Technol. 20 (2011)

23 Ion Energy Dependence of Si Etching in Chlorine-Containing Plasmas Chlorine Containing Plasmas Poly-Si Kinetic study of low energy argon ion-enhanced plasma etching of polysilicon with atomic/molecular chlorine, J. P. Chang, J. C. Arnold, G. C. H. Zau, H-S. Shin, and H. H. Sawin, J. Vac. Sci. Technol. A, 15, 1853 (1997). Characteristics of polycrystalline Si etching with Cl and Ar + beams in high vacuum: Etching exhibits a threshold ion energy of 16 ev. Above this threshold, etching rate increases with the square root of ion energy. Yield (Si atoms etched per io on) E th 16 ev Sqrt. ion energy (ev 1/2 ) 23

24 What We Expect in a Pulsed Plasma for Si Etching Time e-averaged Ion Energy Distr ribution 0.05 E th ~16 ev 50 mtorr no etching etching Relative Et tching Rate mTorr 60mTorr E th ~16 ev ER (Å/min mtorr Energy (ev) E 1/2 (ev 1/2 ) Ar/Cl 2 (few %) pulsed plasma. Above threshold h etching looks like what we 20 s ON 80 s OFF. expect. Synchronous DC bias in the afterglow at s. Big question (and big problem): What /cm 3 p-type Si causes sub-threshold h etching? 24

25 What Causes Sub-threshold Etching? Spontaneous chemical etching by Cl atoms? - It has been reported that this does not happen for p-type or i-si (only heavily doped n-type). - This is confirmed in our cross section SEMs: no undercutting of the mask. SiO 2 mask Si Therefore spontaneous chemical etching by Cl atoms is not the reason. 25

26 What Causes Sub-threshold Etching? Ar metastable-assisted etching? - Ar metastables energies (11.55, ev for 3 P 2 and 3 P 0 ) sufficient to cause desorption of SiCl x. - Never been reported. Unlikely, given the nearly unit efficient quenching of rare gas metastables on surfaces. Also, Cl 2(g) quenches Ar metastables. - We find pure Cl 2 plasmas have a constant, non-zero etching rate below ~12 ev, suggesting similar sub-threshold etching in Cl 2 and Cl 2 /Ar plasmas. Therefore Ar metastables-induced etching is not the cause of 1.0 sub-threshold etching. 0.5 Relative Etc ching Rate Boundary Voltage 1/2 (V 1/2 ) 26

27 What Causes Sub-threshold Etching? Neutralization of low energy ions? -Ar + releases up to the ionization energy (15.76 ev) minus the work function when neutralized at a surface, sufficient to cause desorption of SiCl x. - Could an Auger electron (or hole created in the valence band), cause a reaction in the chlorinated layer that leads to etching. - If we positively bias the sample to reject low-energy Ar +, sub-threshold etching should stop. Photoassisted t etching (PAE) induced d by light produced d in the plasma? PAE of Si with lamps and lasers in the presence of a high pressure of Cl 2 has been reported. - If we positively bias the sample to reject low-energy Ar +,sub-threshold etching should not stop. Electron-assisted etching? Reported to be very weak effect. Should be much less important in Cl 2 vs dilute Cl 2 /Ar plasmas. - If we negatively bias the sample to reject electrons, sub-threshold etching should stop. 27

28 Grids / Substrate Bias Experiments energetic positive ions positive ions Cl, Cl 2, photons Cl, Cl 2, photons Cl 2 /Ar Plasma Current (ma) Si -5V Voltage (V) te lative Etching Rat Rel V 0.1 L/0.1S 0V Conclusion: Sub-threshold etching is due to photo-assisted etching induced by plasma light. 28

29 Wavelength Dependence for Photo- Assisted Etching 1000 No mask or window tching Rate (A/min n) Si Et 100 Beneath Si mask Beneath quartz window 10 Si mask blocks most light from reaching the sample. Quartz transmits >170 nm. Seems ~90% of PAE due to <170 nm. Both Cl and Ar known to have intense VUV lines between 100 and 140 nm. 29

30 30

31 PHOTO-ASSISTED Si ETCHING: HALOGEN DEPENDENCE ing rate (nm m/min) Etch % Cl 2 / 50% Ar 50% Br 2 / 50% Ar % HBr / 50% Ar 25% Cl 2 / 25% Br 2 / 50% Ar 25% Cl 2 / 25% HBr / 50% Ar 400 Photo-assisted etching: - fastest in Cl 2 /HBr - slowest in Br 2 Isotropic etching: - HBr-containing plasmas - probably H atoms 200 photo- assisted etching rates = arrow lengths isotropic etching E 1/2 (ev 1/2 ) 31

32 PHOTO-ASSISTED Si ETCHING: HALOGEN DEPENDENCE u.) min) (XPS a.u es (nm/m overage ching rate Cl + Br c Etc Ar 7504 C IA Cl 2 Br 2 HBr Br 2 /Cl 2 HBr/Cl 2 Measure halogen by XPS Measure Ar 7504 A emission intensity. (Proportional to VUV intensity?) Photo-assisted etching rate scales as the product of light intensity times halogen coverage. 32

33 Summary Mono-energetic ion fluxes with selectable energy can be formed in the afterglow of a pulsed plasma with synchronous bias of a boundary electrode. This can be used to extract an ion beam through a grid for remote processing such as nano-pantography. The same approach could be used to improve etching processes (nearthreshold energies, better selectivities and less physical damage), but... At low ion energies, photo-assisted etching, mainly from VUV light generated by the plasma, becomes dominant. This seems to be a serious problem for future etching processes. 33

34 Co-PI: D. J. Economou Postdoc: H. Shin Students: L. Xu, W. Zhu, S. Sridhar, L. Liu 34

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston 1 Outline Introduction PIC-MCC simulation of tailored bias on boundary electrode Semi-analytic model Comparison

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Control of Ion Energy Distributions on Plasma Electrodes

Control of Ion Energy Distributions on Plasma Electrodes Control of Ion Energy Distributions on Plasma Electrodes P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston DOE Plasma Science Center Teleseminar, February

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source

Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source Alok Ranjan Plasma Processing Laboratory, Department

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans Modification of thin films and nanoparticles Johannes Berndt, GREMI,Orléans Low temperature plasmas not fully ionized Ionization degree 10-6 10-4 far away from thermodynamic equlilibrium T electron >>

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Application Note GA-301E. MBMS for Preformed Ions. Extrel CMS, 575 Epsilon Drive, Pittsburgh, PA I. SAMPLING A CHEMICAL SOUP

Application Note GA-301E. MBMS for Preformed Ions. Extrel CMS, 575 Epsilon Drive, Pittsburgh, PA I. SAMPLING A CHEMICAL SOUP Application Note MBMS for Preformed Ions, 575 Epsilon Drive, Pittsburgh, PA 15238 (Poster Presented at 45th ASMS Conference on Mass Spectrometry, June 1-5, 1997) In order to accurately characterize a plasma

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

Experiment 3 1. The Michelson Interferometer and the He- Ne Laser Physics 2150 Experiment No. 3 University of Colorado

Experiment 3 1. The Michelson Interferometer and the He- Ne Laser Physics 2150 Experiment No. 3 University of Colorado Experiment 3 1 Introduction The Michelson Interferometer and the He- Ne Laser Physics 2150 Experiment No. 3 University of Colorado The Michelson interferometer is one example of an optical interferometer.

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Low-field helicon discharges

Low-field helicon discharges Plasma Phys. Control. Fusion 39 (1997) A411 A420. Printed in the UK PII: S0741-3335(97)80958-X Low-field helicon discharges F F Chen, X Jiang, J D Evans, G Tynan and D Arnush University of California,

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Collisional radiative model

Collisional radiative model Lenka Dosoudilová Lenka Dosoudilová 1 / 14 Motivation Equations Approximative models Emission coefficient Particles J ij = 1 4π n j A ij hν ij, atoms in ground state atoms in excited states resonance metastable

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Plasma parameter evolution in a periodically pulsed ICP

Plasma parameter evolution in a periodically pulsed ICP Plasma parameter evolution in a periodically pulsed ICP V. Godyak and B. Alexandrovich OSRAM SYLVANIA, 71 Cherry Hill Drive, Beverly, MA 01915, USA The electron energy probability function (EEPF) has been

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

LEC E T C U T R U E R E 17 -Photodetectors

LEC E T C U T R U E R E 17 -Photodetectors LECTURE 17 -Photodetectors Topics to be covered Photodetectors PIN photodiode Avalanche Photodiode Photodetectors Principle of the p-n junction Photodiode A generic photodiode. Photodetectors Principle

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

PHYSICAL VAPOR DEPOSITION OF THIN FILMS

PHYSICAL VAPOR DEPOSITION OF THIN FILMS PHYSICAL VAPOR DEPOSITION OF THIN FILMS JOHN E. MAHAN Colorado State University A Wiley-Interscience Publication JOHN WILEY & SONS, INC. New York Chichester Weinheim Brisbane Singapore Toronto CONTENTS

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources Multi-fluid Simulation Models for Inductively Coupled Plasma Sources Madhusudhan Kundrapu, Seth A. Veitzer, Peter H. Stoltz, Kristian R.C. Beckwith Tech-X Corporation, Boulder, CO, USA and Jonathan Smith

More information

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Graduate school of Engineering, Hori-Sekine Lab. Doctor course student Yusuke Abe Ruhr

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

Laser matter interaction

Laser matter interaction Laser matter interaction PH413 Lasers & Photonics Lecture 26 Why study laser matter interaction? Fundamental physics Chemical analysis Material processing Biomedical applications Deposition of novel structures

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

tip conducting surface

tip conducting surface PhysicsAndMathsTutor.com 1 1. The diagram shows the tip of a scanning tunnelling microscope (STM) above a conducting surface. The tip is at a potential of 1.0 V relative to the surface. If the tip is sufficiently

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Nanocomposite photonic crystal devices

Nanocomposite photonic crystal devices Nanocomposite photonic crystal devices Xiaoyong Hu, Cuicui Lu, Yulan Fu, Yu Zhu, Yingbo Zhang, Hong Yang, Qihuang Gong Department of Physics, Peking University, Beijing, P. R. China Contents Motivation

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

The effect of self-absorption in hollow cathode lamp on its temperature

The effect of self-absorption in hollow cathode lamp on its temperature Plasma Science and Applications (ICPSA 2013) International Journal of Modern Physics: Conference Series Vol. 32 (2014) 1460349 (9 pages) The Author DOI: 10.1142/S2010194514603494 The effect of self-absorption

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Plasma diagnostics of pulsed sputtering discharge

Plasma diagnostics of pulsed sputtering discharge Plasma diagnostics of pulsed sputtering discharge Vitezslav Stranak Zdenek Hubicka, Martin Cada and Rainer Hippler University of Greifswald, Institute of Physics, Felix-Hausdorff-Str. 6, 174 89 Greifswald,

More information

arxiv: v1 [physics.plasm-ph] 10 Nov 2014

arxiv: v1 [physics.plasm-ph] 10 Nov 2014 arxiv:1411.2464v1 [physics.plasm-ph] 10 Nov 2014 Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/MCC simulations of capacitively coupled plasmas A. Derzsi 1, I. Korolov

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion C. Wimmer a, U. Fantz a,b and the NNBI-Team a a Max-Planck-Institut für Plasmaphysik, EURATOM

More information

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics A comparison of the defects introduced during plasma exposure in high- and low-k dielectrics H. Ren, 1 G. Jiang, 2 G. A. Antonelli, 2 Y. Nishi, 3 and J.L. Shohet 1 1 Plasma Processing & Technology Laboratory

More information

Electric Field Measurements in Atmospheric Pressure Electric Discharges

Electric Field Measurements in Atmospheric Pressure Electric Discharges 70 th Gaseous Electronics Conference Pittsburgh, PA, November 6-10, 2017 Electric Field Measurements in Atmospheric Pressure Electric Discharges M. Simeni Simeni, B.M. Goldberg, E. Baratte, C. Zhang, K.

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information