Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Size: px
Start display at page:

Download "Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy"

Transcription

1 Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures (LPN),CNRS, Route de Nozay, Marcoussis, France P. Chabert Laboratoire de Physique et Technologie des Plasmas (LPTP), CNRS, Ecole Polytechnique, Route de Saclay, Palaiseau, France Received 9 April 2008; accepted 15 December 2008; published 12 February 2009 Optical emission spectroscopy OES has been used in order to investigate the InP etching mechanisms in a 2 2 inductively coupled plasma. The authors have previously shown that anisotropic etching of InP could be achieved for a 2 percentage in the 35% 45% range where the InP etch rate also presents a local maximum J. Vac. Sci. Technol. B 24, , and that anisotropic etching was due to an enhanced passivation of the etched sidewalls by a silicon oxide layer J. Vac. Sci. Technol. B 26, In this work, it is shown that this etching behavior is related to a maximum in the atom concentration in the plasma. The possible enhancement of the sidewall passivation process in the presence of is investigated by comparing OES measurements and etching results obtained for 2 2 and 2 Ar gas mixtures American Vacuum Society. DOI: / I. INTRODUCTION Photonic device fabrication generally requires highaspect-ratio etching of InP-based heterostructures. Wet etching allows for obtaining minimal material damages, but the etching profile is difficult to control. A dry-etching process that can produce highly anisotropic profiles and smooth sidewalls free from undercuts or notches becomes necessary when key elements such as deeply etched facets and mirrors, deep ridge waveguides, ring resonators, or micropillars cavities have to be defined. Inductively coupled plasma ICP etching of InP has been widely developed for this purpose in the past years using 2 as the main etching gas. Pure 2 atmospheres generally leading to significant undercuts, 1,2 additive gas have been added to achieve anisotropic etching of high-aspect-ratio patterns. 2 /C 4 / 2 chemistry has been proposed to anisotropically etch InP-based heterostructures with both electron cyclotron resonance and ICP techniques, 2 4 considering that C 4 could balance the removal of In and P elements and introduce some polymerinduced passivation. 2,3 N 2 is considered as a strongly passivating gas due to nitridation of the InP surface, and anisotropic etching has been reported in 2 N 2 Refs. 5 and 6 gas mixtures. Rommel et al. 1 proposed that the addition of 2 to a standard 2 /Ar process balances the chemical and physical etch, and that the etching of InP/InGaAsP waveguide heterostructures with a high degree of anisotropy can be obtained in this balanced regime when all epitaxial layers are approximately etched at the same rate. In a previous work we showed that deep etching 5 m of InGa Al As/ InP heterostructures with smooth and vertical sidewalls could a Also at LPTP, CNRS Ecole Polytechnique, Route de Saclay, Palaiseau France. b Electronic mail: sophie.bouchoule@lpn.cnrs.fr be obtained in 2 2 chemistry with no additive gas. 7 We highlighted that the 2 percentage in the gas mixture was an important parameter to control the anisotropy, in a similar way for both bulk InP and InGa Al As/InP samples. We also evidenced that using a Si wafer as the sample tray was essential to obtain smooth and vertical sidewalls. A high InP etch rate nm/min together with a good selectivity over SiN x dielectric mask as well as a smooth and vertical profile could be obtained in the mtorr pressure range for an optimized hydrogen percentage of 35% 45%. More detailed studies, using ex situ energy dispersive x-ray spectroscopy EDX coupled to transmission electron microscopy TEM revealed that this high etching anisotropy in 2 2 plasma occurs due to the formation of a Si-rich passivation layer on the InP sidewalls, when a Si wafer is used as the sample tray. 8 In the present study we have used optical emission spectroscopy OES and Langmuir probe measurements in order to investigate the InP etching mechanisms in a 2 2 inductively coupled plasma with a Si wafer used as the sample tray. This configuration corresponds to most commercial ICP etch systems having an electrode diameter of 4 in. or more, and used to etch InP samples with typical dimensions of 2 in. or less. The actinometry method has been employed to monitor the etch products In, Si and the reactive radicals, in the gas phase when the 2 percentage 2 % is varied in the gas mixture. It is evidenced that the etch rate evolution with 2 % showing a local maximum in the 35% 45% range, is related to a maximum in the relative concentration that partly compensates the decrease in relative concentration. The and behaviors are compared to the results of a simple kinetic model, along with Langmuir probe measurements of the plasma parameters electron density and electron temperature. The actinometry method is also used to compare the 2 2 chemistry with the 2 Ar chemistry. 262 J. Vac. Sci. Technol. A 27 2, Mar/Apr /2009/27 2 /262/14/$ American Vacuum Society 262

2 263 Gatilova et al.: Investigation of InP etching mechanisms 263 Anisotropic etching of InP is hardly obtained with the latter, and we discuss the possibility of an enhancement of the passivation of the InP sidewalls by silicon oxide deposition when hydrogen is added to the gas mixture. II. EXPERIMENTAL SETUP AND DIAGNOSTIC The study has been carried out in a Sentech SI 500 triple planar spiral antenna ICP etch system. 7 The reactor chamber is made of aluminum. The ICP source rf of Mz is coupled to the plasma through an Al 2 O 3 ceramic window. The InP samples transferred to the reactor chamber via a loadlock are deposited on a 4 in. carrier mechanically clamped above the rf-biased Mz electrode with an Al 2 O 3 ceramic clamping ring. A 4 in. silicon wafer was used as a carrier in all the experiments. The InP samples of typical size 7 7 mm 2 were patterned with a silicon nitride mask forming 2 m wide stripes and submicrometer diameter pillars in order to estimate the etch rate and check the etching profile. The plasma conditions were fixed to those optimized in our previous work: W ICP power, 140 V dc bias, 0.5 mtorr pressure, total gas flow rate of 28 SCCM SCCM denotes cubic centimeter per minute at STP, and electrode temperature of 150 C. Only the 2 / 2 mixing ratio was varied. The samples were nonthermalized i.e., not glued to the 4 in. carrier. The plasma phase was diagnosed using OES and Langmuir probe measurements. An rf planar probe 9 installed on the reactor walls was used to measure the positive ion current density. Electron density and electron temperature were measured using a rf-compensated cylindrical Langmuir probe, which could be placed 5 cm above the wafer carrier and positioned close to the reactor center. For OES measurements the optical emission of the plasma was collected by an optical fiber coupled to the entrance slit of the Shamrock 303i spectrograph, through a quartz window in the reactor chamber wall. Two diffraction gratings of 1200 lines/mm blazed at 300 and 500 nm were used in order to acquire the spectrum in and nm region, respectively. The spectral resolution was 0.06 nm. An optical filter was used to avoid the overlapping of orders in the highwavelength range. The and atom relative concentrations were estimated as a function of 2 percentage in the gas mixture using the optical emission actinometry method. Argon gas was chosen as the actinometer. The default spectral lines used were the Balmer- emission line n=3 n=2 at nm for, and the line at nm for. The atomic emission lines corresponding to some reaction products have also been recorded: nm for Si, nm for In, and nm for P. The integrated intensities of all these lines have been normalized by the intensity of Ar line at nm. The nm Ar line is often chosen since it is virtually unaffected by the potential contribution of metastable levels to the emission from electron-impact excitation of the metastables. The main advantage of actinometry is its simplicity, which makes it easy to implement in a clean room environment mainly dedicated to III-V device processing. owever, this technique relies on many assumptions to be discussed, which is not the case for more direct spectroscopic techniques such as absorption spectroscopy 10 or laser induced fluorescence LIF. 11 We will thus discuss the two main conditions that have to be fulfilled for actinometry to remain valid: i the production of excited species X,or by electronimpact dissociative excitation of the parent molecule 2,or 2 must be negligible compared to the production of X by direct excitation of X, and ii the variation of the electronimpact direct excitation cross section for the species X or should follow that of the electron-impact direct excitation of the actinometer Ar, so that the ratio of the excitation X Ar /k directexc rate constants k directexc remains unchanged in the range of plasma parameters explored. This second point is particularly important if the electronic temperature T e or the electron energy distribution function varies in the experiments. Let us first examine the first point. When considering, the actinometry will be valid if the following is satisfied, r 0 = k exc-dissoc 2 1, k exc-direct where k exc-dissoc and k exc-direct of the two reactions: 2 + e + n =3 + e k exc-dissoc, correspond to the rate constants +e n =3 + e k exc-direct. Recently, Lavrov and Pipa 12 revisited the calculation of the emission cross sections of and Balmer lines and of the corresponding rate coefficients for both direct and dissociative excitation by electron impact in plasma. For the line and electron temperature values lying in the range from 6 to 9.5 ev corresponding to our experimental conditions, the rate constant for direct excitation lies in the range from to cm 3 /s, and the rate coefficient for dissociative excitation lies in the range from to cm 3 /s. The corresponding k exc-dissoc /k exc-direct ratio lies in the range from to 0.041, consistent with previous calculations by Rousseau et al. 13 for lower T e values. We have used the values of Lavrov et al. to calculate the ratio r 0 and to evaluate the validity of the actinometry method for the measurement of the relative concentration of. The same discussion holds for atoms. Due to a lack of data concerning the electron impact-excitation cross section or excitation rate constant for the level emitting at nm, we have used the work by Malyshev and Donelly 14 on the nm line energy above ground state of the level at ev to estimate the ratio r 0 = k exc-dissoc /k exc-direct. 2 /. For a gas pressure of 1 mtorr pressure, with T e =4.6 ev and T g =600 K, close to our experimental conditions, we obtain a ratio = We will use this av- k exc-dissoc /k exc-direct JVST A - Vacuum, Surfaces, and Films

3 264 Gatilova et al.: Investigation of InP etching mechanisms 264 FIG. 1. Positive ion current density stars, and InP etch rate measured in the case of 2 2 circles and % of Ar open squares mixtures, as a function of 2 %. The dashed lines are guide to the eyes also valid for all the following figures. erage value to estimate r 0 for the level emitting at nm energy level above ground state at ev. Let us now consider the second point on the validity of actinometry. The energy above ground state of the, Ar, and levels considered in our measurements are of 12.1, 13.5, and 10.6 ev, respectively. As will be shown later, the electron temperature typically varies from 6.5 to 9.5 ev when the 2 percentage is varied in the gas mixture. It is thus not obvious X Ar /k directexc that the ratio k directexc X=, will remain approximately constant over the whole range of 2 percentage investigated. This issue has been addressed by comparing the normalized intensities of different lines of species X, corresponding to excited states having different energy levels above ground state. For the case of, the normalized intensity of the emission line nm, ev was compared to the normalized intensity of nm, nm, and nm lines corresponding to energy levels of 12.75, 13.05, and ev, respectively. In addition, we used two different Ar lines for normalization: the nm emission line level 13.5 ev and the nm emission line level ev. For the case of, we compared the following lines: nm ev, nm ev, nm ev, nm ev, and nm ev. III. EXPERIMENTAL RESULTS A. Etching mechanism: etch rate We first checked that the addition of 10% of Ar 2.8 SCCM in the 2 / 2 gas mixture did not significantly change the InP etch rate as well as the etching profile, as shown in Figs. 1 and 2. The changes in the InP etch rate and in the ridge profile with 2 % defined in the following as 2 %= 2 / total 100, where 2 and total are the 2 and total flow rates, respectively, with total =28 SCCM are similar with and without Ar addition, and are consistent with our previous observation. 7 In pure 2 atmosphere the InP etch rate is high 2300 nm/min, but the corresponding etching profile, shown in Figs. 2 a and 2 d, presents an undercut that is unsuitable for the fabrication of photonic devices. The addition of a small 2 % first strongly decreases FIG. 2. Scanning electron microscopy SEM images of InP samples etched in a c pure 2 2 mixture and in d f % of Ar, for a a and d 2 % of 0%, b and e 40%, and c and f 60%. the etch rate, then for 2 % around 35% 45% the etch rate curve exhibits a local maximum. A smooth and vertical ridge profile is observed in the same percentage window, as seen in Figs. 2 b and 2 e. Further increasing 2 % 55% leads to a further decrease of the InP etch rate and results in a rough or grassy surface Figs. 2 c and 2 f. The slight shift observed in the position of the etch rate curve when adding 10% of Ar in the gas mixture as the actinometer compared to the case of 2 2 chemistry without Ar, may be related to a dilution effect. Figure 3 a shows the normalized intensity of In line I In /I Ar as a function of 2 % in the gas mixture. Its variation follows the trend of the InP etch rate curve with a local maximum around 2 % 45%. Different Si lines in the nm spectral range have also been detected during the measurements; the normalized intensity of the nm line is shown in Fig. 3 a. The existence of Si lines must result from the etching of the 4 in. silicon wafer used as the carrier in the experiments. The Si etch rate was measured as a function of 2 % in the 2 / 2 /Ar mixture and data are reported in Fig. 3 b the InP etch rate is also reported for comparison. The Si line normalized intensity constantly decreases with 2 % as does the Si wafer etch rate. This suggests that atoms are the principal Si etch agents. As a conclusion, In and Si emission lines can be considered as good monitoring lines for etch rate in our conditions. The P line at nm could be detected in pure 2 plasma where the etch rate was high, but its intensity was very weak small residence time, small sample size, and this line almost disappeared with the addition of 2 in the mixture. In order to explain the local maximum of the InP etch rate curve, the intensities of nm and nm lines normalized by Ar nm have been measured as a function of 2 % and the results are presented in Fig. 4. As can be seen the I /I Ar ratio decreases monotonously with 2 %. On the other hand, the I /I Ar ratio goes through a J. Vac. Sci. Technol. A, Vol. 27, No. 2, Mar/Apr 2009

4 265 Gatilova et al.: Investigation of InP etching mechanisms 265 FIG. 3. a Normalized emission line intensities for In atoms black squares and Si atoms open squares as a function of 2 %. b InP black squares and Si open squares etch rates as a function of 2 %. maximum located around 2 %=45% 50%, that is near the local maximum observed on the InP etch rate curve. The increase in the etch rate seems thus to be related to the increase in atom concentration, which suggests that the P atoms of the InP surface are etched by hydrogen, via the formation of P x, and that the etching by atoms compensates for the decrease of the atom concentration. The same measurements have been performed for the,, and lines using both the and the nm Ar lines for normalization, and the results are reported in Figs. 5 a and 5 b. The plasma parameters are the same as for Fig. 4 except that no dc bias is applied to the electrode and no InP sample was introduced. It can be observed that the evolution is fairly similar for all these lines corresponding to excited states having energy levels varying by more than 1 ev. In FIG. 5. Integrated intensities of the,,, and lines normalized against the Ar line at nm a and nm b as a function of 2 %. particular the energy level of the line ev and nm Ar line ev are very close. We thus considered that the variation of the normalized intensity of the lines is a good indication of the variation of the relative concentration of. Note that the maximum is around 50% of 2, that is slightly higher than in the case of Fig. 4. This may be due to the fact that no etching of Si/InP occurs no dc bias, or due to a change in the reactor walls conditioning between the series of measurements. The same analysis has been done for, with the result shown on Fig. 6. A larger discrepancy between the different lines is observed, however, the general trend indicates a decay of the atom density as the 2 % increases. and atoms are principally generated by the dissociation of 2 and 2 molecules, the rate constants of which FIG. 4. Integrated intensities of the nm and nm lines normalized against the Ar line at nm as a function of 2 % with open symbols and without full symbols an InP sample. FIG. 6. Integrated intensities of the lines at 639.9, 793.5, 452.6, 754.7, and nm normalized against the Ar line at nm as a function of 2 %. JVST A - Vacuum, Surfaces, and Films

5 266 Gatilova et al.: Investigation of InP etching mechanisms 266 FIG. 7. Electron density full symbols and electron temperature open symbols measured as a function of 2 %. FIG. 8. Integrated intensities of the nm and nm lines normalized against the Ar line at nm as a function of 2 % without InP sample and without any dc bias. depend on the electron temperature or electron energy distribution function and electron density. In order to quantify the production mechanisms, the electron density n e and the electron temperature T e have been measured using the cylindrical electrostatic probe. Figure 7 shows the results of the probe measurements for n e and T e as a function of the 2 percentage. The electron density falls down from cm 3 for 2 %=0%, to cm 3 at 80% of 2 in the mixture. These values are in good agreement with results published in literature. 15 The electron temperature was found to be of 6.5 ev in pure 2 plasma, also in good agreement with published data. Malyshev et al. 15 indeed reported an electron temperature of 5.5 ev measured by trace rare gas-optical emission spectroscopy TRG-OES in an ICP reactor for 1 mtorr 2 plasma. In pure 2 plasma, Paunska et al. 16 calculated an electron temperature of 9 ev for a microwave 2 plasma discharge at 5 mtorr, which tends to confirm that the electron temperature is high for 2 rich conditions. The electron density measurements suggest that the decrease in the I /I Ar ratio is due to the simultaneous diminution of the 2 concentration and electron density when 2 % is increased. On the contrary, the I /I Ar ratio first increases due to the augmentation of 2 %, but this augmentation becomes gradually compensated by the decrease in the electron density. owever, to explain the apparition and the position of the observed maximum, loss mechanisms have to be considered, and a simple kinetic model has been developed. Kinetic model Chlorine atoms are mainly formed by electron-impact dissociation, dissociative ionization and dissociative attachment via the following reactions: 17 k 2 diss 2 + e + + e reaction R1, k ion 2 + e + ++2e reaction R2, k attach 2 + e + reaction R3. The rate constants for reactions R1 R3 are, respectively, k 2 diss = exp 3.824/T e cm 3 /s; k ion = exp 15.5/T e cm 3 /s; k attach = exp 1.68/T e /T 2 e 0.44/T 3 4 e /T e /T 5 e. For our measured electron temperature values, the rate constants of reactions R2 and R3 lie in the range of cm 3 /s and cm 3 /s, respectively, that is two orders of magnitude lower than for R1 : cm 3 /s. Therefore, R2 and R3 have been discarded in the calculations. For energies below 12 ev the dissociation of 2 was shown to proceed almost exclusively by direct excitation of the b 3 + u state: e 2 b 3 + u + e ++e reaction R4. The rate constant of this reaction, k 2 diss, can be calculated from our measured values of the electron temperature using the cross section given in literature 18 and supposing that the electron energy distribution function is Maxwellian. We found that k 2 diss varies in the range of cm 3 s 1. One of the possible loss channels of and atoms can be their consumption by the etching of InP or 4 in. silicon wafer. The experiments performed without InP samples in the plasma Fig. 4, full symbol showed that the size of the samples 7 7 mm 2 was too small to induce a visible change in the normalized intensities of the and lines. The possible consumption of and by Si etching was verified by repeating the same measurements without dc bias, that is in conditions where the etch rate is much lower. The evolution of the I /I Ar and I /I Ar ratios with 2 % reported in Fig. 8 are similar to that of Fig. 4 with dc bias and Figs. 5 and 6 for different emission lines : The curve shows a clear maximum located around 2 % 60%, while the curve shows a continuous decrease. This allowed us not to include the loss channel of atoms by etching in order to simplify our kinetic model. We note that the position of the maximum in the curve is slightly different in Figs. 5 and 8. We believe that this is due to different reactor condi- J. Vac. Sci. Technol. A, Vol. 27, No. 2, Mar/Apr 2009

6 267 Gatilova et al.: Investigation of InP etching mechanisms 267 tioning; the experimental data of Fig. 8 were recorded shortly after manual cleaning of the reactor only few etching runs performed, while data of Fig. 5 were recorded when the reactor was heavily passivated due to a great number of experiments. As will be shown later, this can change the profile of the curve due to changes in the recombination probability of the radicals at the reactor walls. The loss rates of 2 and 2 due to pumping, k 2 pump and k 2 pump, are deduced with the plasma switched off since we have d 2 0 dt d 2 0 dt = Q 2 V = Q 2 V k 2 pump 2 0, 1 k 2 pump 2 0, 2 where Q 2, 2 are the flow rates of the 2 and 2 gases, and 2 0 and 2 0 are the concentrations of chlorine and hydrogen molecules in the reactor without plasma, respectively. The loss rates of 2 and 2 molecules due to the pumping estimated from the Eqs. 1 and 2 at steady state are k 2 pump =47 s 1 and k 2 pump =37 s 1 for chlorine and hydrogen molecules. The pumping rate for atomic chlorine k pump was considered to be equal to that for 2 molecules, since the effective pumping speeds of all species with mass higher than 14 amu are about identical with our pumping system, as checked for 2, Ar, and N 2. On the other hand, k 2 pump =37 s 1 is lower than the pumping rate measured for 2, N 2, Ar, and also e. It can therefore be anticipated that the pumping rate of atoms k pump may be also lower than that =xk 2 pump of 2 molecules, and k pump is expressed as k pump with x 1. The main paths considered for the heterogeneous recombination of and on the reactor walls are k wall g + s g 2 k wall g + s g 2 reaction R5, reaction R6, where the symbol g stands for the gas phase, and s for atoms adsorbed at the surface. At low pressure, the diffusion is fast such that the loss rate due to surface recombination can be estimated from k, wall S V th,v 4, where S=5340 cm2 is the surface of the reactor, V= cm 3 is reactor volume, v th is thermal velocity, and, is the recombination probability of or atoms. The thermal velocity was calculated considering a typical gas temperature T g of 600 K. 17,19 At 0.5 mtorr, most of three-body recombination reactions are negligible in the gas phase. owever, in the case of 2 2 plasma, the volume reactions involving the production and destruction of may have to be considered, because the second-order rate constants of these reactions are relatively high. The three main reactions to be considered are k reaction R7, k reaction R8, k reaction R9. R7 and R8 correspond to the chain reaction involved in the production of from hydrogen and chlorine with atoms acting as the chain carriers. R7 is a fast reaction since values of the second-order rate constant k 1 around of cm 3 molecule 1 s 1 have generally been reported in literature. 20 Berho et al. 21 measured a rate constant of cm 3 molecule 1 s 1 at 300 K, and have derived an estimation of k 1 from to cm 3 molecule 1 s 1 for a gas temperature varying from 300 to 600 K. In an older paper, Albright et al., 22 reported measured k 1 values of to cm 3 molecule 1 s 1 for temperatures from 300 to 500 K, and a value of cm 3 molecule 1 s 1 could be extrapolated for a temperature of 600 K. The rate constants k 2 and k 2 for reaction R8 and reverse reaction R9 are lower than k 1 by more than one order of magnitude. 20,23 Typical values for k 2 lie in the range from to cm 3 molecule 1 s 1 at 300 K, and from to cm 3 molecule 1 s 1 at 600 K. The rate constant k 2 is typically of the same order of k 2, since typical values lie in the range from5to cm 3 molecule 1 s 1 at 600 K. The first reaction to be considered in a kinetic model is consequently reaction R7. We start our analysis by neglecting all the volume reactions, an assumption that shall be relaxed later. The analytical expressions of and can be easily derived from R1, R4, R5, and R6, and read = = 2 1+ k 2 pump x + k wall + k wall 2 n e k diss 2 + xk 2 pump 2 n e k diss 1 k 2 pump 1 k 2 pump Q 2 V, Q 2 V. The main unknowns here are the wall recombination coefficients. Values of the recombination coefficient lying in the range from 0.8 to 0.01 have been reported so far, and have been shown to be strongly dependent on both the reactor walls state and the plasma mixture. Kota et al. 24 reported values of 0.2, 0.1, and 0.02 in the K temperature range typical temperature of the reactor walls for anodized aluminum, polysilicon, and quartz. Ullal et al. 25 derived a recombination coefficient of 0.03 in a reactor with anodized Al walls passivated by a SiO x redeposition layer. More recently Guha et al. 26 measured in a reactor with anodized Al walls covered with an AlSiO passivation layer resulting from the erosion of the quartz tube and found an 3 4 JVST A - Vacuum, Surfaces, and Films

7 268 Gatilova et al.: Investigation of InP etching mechanisms 268 increase in with the / 2 ratio from 0.01 to 0.1. Cunge et al. 27 measured the 2 and concentrations in an industrial ICP reactor and derived a low value of for the case where the reactor walls were passivated by a SiO deposition layer. In our case the aluminum walls of the reactor are generally passivated by a SiOAl redeposition layer resulting from the etching of the Si coverplate in the 2 -containing plasma, not far from the case of Ullal et al. and Cunge et al. except for a stronger Al incorporation as estimated from ex situ EDX analysis performed during manual cleaning of the reactor. The gas mixture is also varied, and it is likely that the value will vary with the plasma mixture due to competition between,, and even 2 adsorption, 26 and due to other possible recombination mechanisms of atoms competing with the recombination path s + g g 2, such as g + s g, or Si s x 1 + g Si g x. In the following calculations, we will fix =0.03 which corresponds to k w 170 s 1 with T g =600 K. The recombination coefficient of,, typically ranges from 0.15 to according to the literature. 28,14 No experimental data are available on for the case of reactor walls passivated by SiO layers to the authors knowledge. As for recombination, the value of may vary with the plasma mixture. Moreover, recombination mechanisms different from the s + g g 2 recombination path may take place in the 2 2 plasma, such as g + s g. Kim et al., 29 for instance, calculated that the recombination coefficient of on a Si surface saturated with chemisorbed chlorine is of the order of 0.05 to 0.1. The impact of on the concentration is illustrated in Fig. 9, where the evolution of Fig. 9 a and Fig. 9 b are calculated using simplified expressions 3 and 4 obtained without taking into account in the gas phase, and varied from 0.15 to k w s 1, T g =600 K. It can be observed that for typically of the order of or larger than 0.03, i.e., k w 685 s 1, compared to production rate n e k 2 diss of the order of s 1, the calculated curve shows a maximum which position is fixed to 2 % 55%, that is close to the experimental observation. This result is a preliminary indication that the recombination coefficient is probably higher than 0.03 in our case. It should also be noted that for the highest values of 2 % 60% 90% the electron temperature deduced from the cylindrical probe measurements shows a very fast increase by more than 2 ev. This in turn leads to an increase in k 2 diss,so that the production rate of only slowly decreases s 1 despite the continuous decrease in n e. This explains why the calculated curve is not symmetric around its maximum for high values, with a slower decrease in concentration on the high 2 % side of the maximum. We have verified for 0.5 x 1 that the position of the maximum does not depend on x, which allows us to take the value of k pump equal to k 2 pump and to rewrite Eq. 4 as FIG. 9. a concentration normalized against the concentration at 2 %=60% calculated with the simple kinetic model as a function of 2 % for =0.15, 0.10, 0.07, 0.05, 0.03, 0.015, 0.010, and left axis. The experimental data I /I Ar of Fig. 8 are reported for comparison black squares, right axis. b concentration calculated with the simple kinetic model as a function of 2 % left axis. The experimental data I /I Ar of Fig. 8 are reported for comparison black squares, right axis. = A where 2z 1+ k wall + k 2 pump 2 z n e z k diss = A 2z 1+ k wall + k 2 pump K z z = 2% 100 = Q 2, Q total and K z =n e z k 2 diss z. A is a constant given by, 5 A = Q tot, Vk 2 pump if Q tot is the total flow rate expressed in SCCM, V is in cm 3, and k 2 pump in s 1. The position of the maximum, z 0, given by d /dz z=z0 =0, reads K z k wall + k 2 pump K z z 0 = k wall + k 2 pump dk dz z=z 0. 6 In our case, k w 685 s 1 if is assumed to be larger than 0.03 consistently with the apparition of a maximum, whereas n e z k 2 diss lies in the range of s 1, thus J. Vac. Sci. Technol. A, Vol. 27, No. 2, Mar/Apr 2009

8 269 Gatilova et al.: Investigation of InP etching mechanisms 269 FIG. 10. r 0 ratio calculated with the simple kinetic model as a function of 2 % for =0.15, 0.10, 0.07, 0.05, 0.03, 0.015, 0.010, and k wall +k 2 pump can be well approximated by z 0 K z =n e z k 2 diss, and z 0 K z 0 dk z. 7 dz z=z 0 Since n e varies from to cm 3 while k 2 diss is a more weakly varying function of z, a simplified form of Eq. 7 is z 0 n e z 0 dn. 8 e z dz z=z 0 Equation 8 simply evidences that z 0 is independent of the reaction rate constants k wall, k 2 pump, and k 2 diss and is determined mainly by the variation of n e and T e with 2 %. From our experimental n e z curve Fig. 7, and our calculations of k 2 diss from the T e data, we find that Eq. 7 is verified for z z using Eq. 8, in very good agreement with the measured data of Figs. 4, 5, and 8 exhibiting a maximum around depending on the reactor conditioning. If k wall +k 2 pump were of the same order as n e k 2 diss,no maximum would be observed, and the concentration would continuously increase with 2 %, as evidenced by the calculations for low values. In the case of this simple kinetic model the ratio r 0 and r 0 used to verify the validity of the actinometry method simply read r 0 = k exc-dissoc 2 k exc-direct and r 0 = k exc-dissoc 2 k exc-direct = kexc-dissoc k exc-direct k wall + k pump 2n e k diss + k pump k wall 2n e k diss 2, The ratio for chlorine, r 0, is lower than 0.02 with =0.03 for all 2 %, due to the strong dissociation of chlorine dissociative excitation is therefore negligible. The r 0 ratio calculated as a function of 2 % for varied from 0.15 to is reported in Fig. 10. It can be deduced FIG. 11. a r 1 and b r 2 ratio calculated with the simple kinetic model and k 1 = cm 3 molecule 1 s 1 as a function of 2 % for =0.03 and =0.15, 0.10, 0.07, 0.05, 0.03, 0.015, 0.010, and that dissociative excitation is negligible for all 2 % if 0.05 k exc-dissoc /k exc-direct 2 / 0.15 for all 2 %. When 0.05, the actinometry measurement will clearly include both the and 2 contributions, and therefore will represent an overestimation of the real concentration, particularly at high 2 %. Consequently, we conclude that this effect cannot explain the discrepancy between the experimental actinometry curve and the model. It seems that another loss mechanism exists for that becomes very important in the case of 2 2 mixture for high 2 %. Finally the creation and loss terms of and due to reactions R7 and R8 can also be compared to the other existing loss/production terms by calculating the ratio r 1, r 2, r 3 and r 4 defined as k 1 2 loss of : r 1 = k pump + k wall, * creation of : r 2 = k 1 2n e k 2 diss, * creation of : r 3 = k 2, 2n e k 2 diss * loss of : r 4 = k pump k k wall. Using k 2 =k 2 = cm 3 molecule 1 s 1, we found that r 3 and r 4 are always lower than 0.06 for all values and =0.03. The r 1 and r 2 ratios are reported in Figs. 11 a and 11 b as a function of 2 % for k 1 =4 JVST A - Vacuum, Surfaces, and Films

9 270 Gatilova et al.: Investigation of InP etching mechanisms 270 FIG. 12. Optical emission spectra of the plasma recorded in the nm wavelength range for 2 %=0% gray line, and 2 %=70% black line. The spectral window between the vertical dotted lines is used to calculate the integrated intensity of the + emission in Fig cm 3 molecule 1 s 1. Calculations of the dissociation rate of chlorine show that it is higher than 85% for any 2 % in the gas mixture for =0.03. This is in a good agreement with the experimental results on 2 dissociation obtained under similar plasma conditions high ICP power, low pressure, and reactor walls covered by SiO x layer. 14,25,27 We see from Fig. 11 a that volume reaction R7 can be neglected in this case as a destruction channel of for values A similar result is obtained for the production of Fig. 11 b. For values in the range from 0.05 to 0.03, although not being predominant, these loss/creation rates start to play a role. This effect is reinforced if higher k 1 values are considered. For the high limit value k 1 = cm 3 molecule 1 s 1, the creation/loss terms due to R7 cannot be neglected in and equations as soon as The effect of the volume reaction R7 is further discussed in Appendix. The presence of in the plasma could not be checked directly since the emission lines are out of the range of our spectrometer. owever the continuum corresponding to vibration bands of + could be detected in the nm wavelength range. The emission spectrum recorded in this spectral region for 2 %=0% and 2 %=70% is reported in Fig. 12, and the observed emission is indeed attributed to +. The intensity of the + emission normalized against the nm Ar line is reported as a function of 2 % in Fig. 13. The + emission has been integrated in the nm spectral window marked in Fig. 12, in which no lines originating from other species exist. It can be observed that the normalized intensity continuously increases up to 70% of 2 and then sharply decreases. It is also observed that while no + emission is recorded for 2 %=0%, a residual signal always exists for 2 %=90% that is when chlorine is suppressed from the gas mixture. This observation confirms that the reactors walls are covered by a passivation layer containing and that the surface reaction g + s g should be taken into account in a more sophisticated model of plasma surface reactions with the 2 2 chemistry, FIG. 13. Intensity of the + emission band integrated in the nm wavelength range and normalized against the Ar line at nm as a function of 2 %. while the hypothetic reverse reaction s + g g does not exist in the reactor or only on a very short time scale 1 min. The kinetic model presented here explains some features revealed by the experiments; namely, a constant decay of the chlorine concentration and a maximum of the hydrogen atom concentration near 2 %=55%. owever, the discrepancy between experiments and the model is still large on the curve. It seems clear that an additional mechanism has to be taken into account to explain the measurements. It is interesting to note that the measurement of + emission band indicates that the concentration continuously increases up to a maximum around 2 %=70%. For not negligible concentrations in the gas phase, first the electronimpact dissociation of will produce more radicals, and second the secondary reactions R8, 9 could also produce more radicals. It is thus possible that the production may have a more complex effect on the atom concentration. Finally, it is worth noting that a better fit of the curve could be obtained if the recombination coefficient of atoms were a function of 2 %. A perfect mathematical fit of the curve could for instance be obtained for varying from 0.12 to 0.05 with 2 % with a minimum value achieved around 2 % 55%. The recombination coefficient may indeed vary, although we cannot prove this assumption, as the value of surface recombination coefficients generally strongly depends on the material, surface temperature, and surface conditioning as discussed earlier in the text. The direct measurement of in our reactor and under our plasma conditions is necessary to confirm such an assumption. B. Etching mechanism: Passivation As illustrated in Figs. 2 and 14, vertical and smooth etching of InP can be obtained when the 2 percentage in the 2 2 gas mixture is around 40%. We have already reported that smooth and anisotropic etching of InP/ InGa Al As heterostructures with no undercut nor notches at the etched sidewalls could be obtained in a similar way as for bulk InP samples. 7 Moreover, using a 4 in. Si wafer as a sample carrier was essential to prevent lateral undercutting. J. Vac. Sci. Technol. A, Vol. 27, No. 2, Mar/Apr 2009

10 271 Gatilova et al.: Investigation of InP etching mechanisms 271 FIG. 14. Typical SEM images of InP patterns etched in 2 2 chemistry witha 2 % of a 25%, b 35%, c 50%, and d and e 60%. The typical profile obtained with 2 %=0% is reported for comparison f. In a recent work 8 we used EDX-TEM to analyze the passivation layer deposited on the sidewalls of InP micropillars etched in 2 2 chemistry. We evidenced that the anisotropic and smooth etching observed for 2 % 35% is due to the deposition of a silicon oxide layer on the pillar sidewalls, the origin of silicon being the etching of the 4 in. wafer in the chlorinated atmosphere. We also suggested that the presence of a small amount of oxygen in the plasma is necessary for the passivation layer to buildup, consistently with extensive studies carried out in the context of Si gate ICP etching using Br/ 2 /O 2 chemistry for microelectronics. 30 We assumed that oxygen should come from the sputtering of the inner parts of the reactor in our case where O 2 was not intentionally added to the gas mixture. Finally the EDX-TEM ex situ analysis showed that the silicon oxide layer was Si rich, with a Si/O ratio around 1/1 for the anisotropic 2 2 process i.e., 2 % 35%. 8 Such a passivation layer was almost not observed for the 2 chemistry without hydrogen, as evidenced in Fig. 14 f showing a significantly undercut profile. EDX-TEM analysis indeed evidenced that the silicon oxide was reduced to a thickness of 2 nm in this latter case that is typically at least five to seven times thinner than in the case of anisotropic 2 2 etching, and could not act as an etch inhibitor to prevent the lateral etching of the InP material. 8 Anisotropic and smooth ICP etchings of InP/InGaAsP waveguide heterostructures has already been reported by Rommel et al. 1 using the 2 /Ar/ 2 chemistry. Starting from a 2 /Ar gas mixture with an Ar dilution of typically 40% 60%, the authors showed in this early work that 2 addition could prevent undercut and notches at the interfaces between the different InP and InGaAsP materials. From planar etch rate measurements performed for the different materials at various 2 flow rates, they concluded that this smooth etching was the result of an optimized regime where all materials FIG. 15. InP and Si wafer etch rates measured as a function of a Ar dilution, and typical SEM images of the etching profiles obtained for an Ar dilution of b 40% and c 70%. The other etching parameters are kept the same as those used in the 2 2 chemistry. where approximately etched at the same rate, with the physical component of the etching due to Ar balanced by the enhanced chemical etching of the V elements due to hydrogen addition. 1 Consistently with these early results, adding only Ar instead of 2 to 2 did not allow to obtain anisotropic etching in our conditions, as reported in Fig. 15. Increasing the Ar percentage led to a regular decrease in the positive ion current density, and of the InP and 4 in. Si wafer etch rates Fig. 15 a, but a vertical and smooth profile was never obtained Figs. 15 b and 15 c. EDX-TEM analysis of the sidewalls of InP micropillars etched with 2 Ar with an Ar dilution of 40% revealed that a silicon oxide layer was deposited on the InP sidewalls, but that the net deposition rate was lower than that obtained with the 2 2 chemistry. 8 Starting from an Ar dilution of 40% corresponding to a fixed Ar flow rate of 11 SCCM in our etching conditions where total =28 SCCM, we added 2 to the gas mixture. The 2 and 2 flow rates were adapted to maintain a constant total flow rate of 28 SCCM. The InP etch rate measured as a function of the relative 2 percentage defined as 2 % = 2 / where 2 and 2 are the 2 and 2 flow rates, respectively, is reported in Fig. 16 a. The 4 in. Si wafer etch rate measured under the same conditions is also reported in the figure. The integrated intensities of the JVST A - Vacuum, Surfaces, and Films

11 272 Gatilova et al.: Investigation of InP etching mechanisms 272 FIG. 16. a InP and Si wafer etch rates, and b integrated intensities of the nm and nm lines normalized against the Ar line at nm as a function of the relative 2 % in the 2 2 Ar gas mixture for a fixed Ar dilution of 40% 11 SCCM. The other etching parameters are kept the same as those used in the 2 2 chemistry nm and nm lines normalized against the Ar line at nm are reported in Fig. 16 b, and the evolution of the etching profile is illustrated in Fig. 17. It is obvious that the etching behavior is very similar to that obtained for 2 2 without Ar addition. While an undercut profile is obtained for relative 2 % lower than 25%, anisotropic etching is achieved when 2 % is increased in the 35% 50% range with a maximum in concentration reached for a relative 2 % around 50%. Further increasing of the relative 2 % 55% results in the development of a grassy surface. We conclude from these observations that the same passivation mechanism occurs in 2 2 and FIG. 17. SEM images of InP pillars etched with the 2 Ar 2 chemistry with a fixed Ar dilution of 40% and for a relative 2 % of a 0%, b 23%, and c 35%. The other etching parameters are kept the same as those used in the 2 2 chemistry. 2 2 Ar chemistries: 2 addition promotes the deposition of the silicon oxyde layer on the InP sidewalls. The nature of the sample carrier was not precised in Ref. 1, but we believe that a similar passivation mechanism actually took place in these experiments, and that the major reason for smooth and anisotropic etching of the waveguide heterostructures came from this passivation mechanism. Anisotropic etching was actually reported for 2 /Ar/ 2 proportions ranging from 2/1/3 to 2/2/3, corresponding to a relative 2 % from 33% to 50%, that is very close to our results. It appears from the above results that adding hydrogen to the halogen etching gas 2 in our experiments promotes the passivation of the InP sidewalls compared to Ar dilution, however, the exact role of hydrogen in the passivation process has still to be determined. Some experimental observations might be in favor of an enhancement of the net deposition rate of the silicon oxyde layer in the presence of hydrogen. First, we investigated adding a small amount 5% of oxygen to the 2 atmosphere in order to enhance the formation of silicon oxyde. 8 We observed that a SiO 2 -like passivation layer was formed on the InP sidewalls in this case, but that the net deposition rate was still lower than in the case of the 2 2 chemistry. Second, it was reported in the domain of microelectronics in the context of sub-100 nm Si gate etching that the addition of Br containing in the Br/ 2 /O 2 mixture improves the process anisotropy, 31 the exact physicochemical mechanism involved in this improvement being not yet fully understood to our knowledge. Third, anisotropic and smooth etchings were never obtained with the 2 Ar chemistry, despite the fact that some plasma and etching characteristics could be very close to that of the 2 2 anisotropic process. Indeed, anisotropic etching of InP is presumably obtained when the deposition rate of the Si-containing layer on the InP sidewalls is not completely counterbalanced by the etch rate of this layer by radicals via the formation of Si x compounds. It is also expected that the passivation layer will more readily grow on inert or slowly etched surfaces. It would then be reasonable to assume that the combination of a high Si concentration and a moderate radicals concentration in the plasma, together with a moderate InP etch rate, would favor sidewall passivation. Starting from this assumption, it is interesting to compare the etching results obtained with the 2 2 chemistry for 2 % in the 35% 50% range, to those obtained in 2 Ar chemistry for an Ar dilution in the 60% 70% range. The InP etch rates are very similar in both cases and around 900 nm/min if one compares the results of Figs. 3 b and 15 a. Moreover, the Si etch rate are very similar in both cases, around nm/min corresponding to an equivalent Si flux in the plasma of 1.2 SCCM such that the Si concentration in the plasma should be fairly similar. Finally, the relative concentration also appears to be comparable as indicated by the actinometry measurements reported in Fig. 18. owever, a strongly undercut profile is obtained with 2 Ar see Fig. 15. J. Vac. Sci. Technol. A, Vol. 27, No. 2, Mar/Apr 2009

12 273 Gatilova et al.: Investigation of InP etching mechanisms 273 could, indeed, explain the enhancement in the deposition of the passivation layer and also the Si enrichment of this layer observed in our case. We suggest that a similar mechanism may occur with the Br chemistry that we recently developed for the ICP smooth and anisotropic etchings of both InP-based and GaAs-based photonic heterostructures. 33 A Sirich silicon oxide layer with Si/O ratio up to 3/1 has indeed also been observed on the InP sidewalls with this chemistry. Finally, a similar mechanism may occur during Si gate etching in 2 Br O 2 inductively coupled plasma that could explain the process anisotropy with Br addition. Further studies are required to investigate the respective roles of O and in this passivation layer deposition mechanism. We have indeed observed that the intentional addition of a small amount of oxygen in the plasma changes the deposition layer composition from Si-rich to more stoichiometric SiO 2 for both the Br Ref. 33 and 2 2 chemistries. 8 FIG. 18. Comparison of the integrated intensity of the nm line normalized against the Ar line at nm in the 2 2 and in the 2 Ar gas mixtures. In the case of the 2 Ar chemistry, the intensity of the line was normalized by the intensity of the Ar line divided by the Ar flow rate. In the case of 2 2 chemistry where 10% of Ar 2.8 SCCM is used as the actinometer, the intensities were normalized by the intensity of the Ar line divided by 2.8. These simple observations indicate that hydrogen atoms play a key role, and may have a more complex involvement in the passivation process. As an additional support to this conclusion, it is worthwhile to note that for higher 2 % typically 57% ignoring in this discussion the fact that the InP etched surface becomes too grassy to make such a process suitable for photonic device fabrication, a significant lateral undercut of the etched patterns is again observed, as for low 25% 2 %. Rommel et al. 1 also reported that notches were again developed at the heterostructure sidewalls for 2 /Ar/ 2 proportions above 6/9/9 that is a relative 2 % 60% with total Ar dilution of 37.5%. The 0% 25% and the 60% ranges are close to the regions where the relative concentration in the plasma becomes to be reduced as observed in Fig. 4 as well as in Fig. 5 and 8. We propose the following mechanism to explain the enhancement in the deposition of the passivation layer when 2 is introduced in the gas mixture and the radical concentration is increased. Some studies devoted in the past years to the etching/deposition of Si:, layers in Si 4 Ar 2 plasma 32 have shown that while the Si layer is etched in Si 4 atmosphere or in gas mixtures where the 2 proportion is low, a switch to deposition is observed when the 2 % is increased. The same early studies have highlighted that this deposition mechanism is rather related to a surface recombination mechanism than to a change in the gas phase volume reactions. Although these studies were carried out at a relatively high pressure compared to our case, we believe that a similar mechanism can occur on the sidewalls of the III-V etched patterns. The proposed surface reaction Si O s x + g Si O s x 1 + g, IV. CONCLUSION We have used optical emission actinometry and Langmuir probe measurements in order to investigate the InP etching mechanisms in a 2 2 ICP under low pressure mtorr conditions. We have identified that the local maximum observed in the etch rate curve when the 2 percentage is increased in the gas mixture, is closely related to the apparition of a maximum in atom concentration in the plasma. This implies that the decrease in the InP etch rate expected from the observed decrease in the concentration when 2 % is increased, is partially compensated by the etching of V elements P by hydrogen. This presumably occurs due to the formation of P x etch products, and leads to a balanced regime in the 35% 50% percentage window in which the etch rate varies only weakly. A simple kinetic model has been developed to identify the main plasma parameters controlling the apparition and the position of the maximum observed in the experimental curve. We showed that this maximum appears in conditions where the atom production rate remains lower than the total loss rate, indicating that the recombination coefficient of atoms should be higher than 0.03 in our case. Under such conditions, the concentration maximum is nearly independent of the loss/ productions mechanisms and is mainly imposed by the variation of the electron density with 2 % in the 2 2 mixture, in reasonable agreement with the experimental data. Moreover, the 35% 50% range also coincides with the anisotropic and smooth etching regime of both bulk InP or InP/ InGa Al As heterostructures. We have previously evidenced that this etching regime is due to the formation of a Si-rich silicon oxide layer on the etched sidewalls, with silicon coming from the etching of the 4 in. Si wafer used as the sample tray. By comparing the etching results and the actinometry measurements performed in the 2 2 mixture and in a 2 2 Ar mixture with a high Ar dilution, that is close to the early experimental conditions of Rommel et al., 1 we showed that the etching behavior and the passivation mechanism are actually very similar for the two chemistries, and that the concentration is one of the key parameter of the etching process. We believe that the fact that the different materials included in an InP-based heterostructure can be etched at an approximately similar etch rate with Ar addition, is only a second-order parameter to achieve anisotropic etching. Finally, we found conditions in which the atom concentration, the Si etch rate and the InP etch rate were similar JVST A - Vacuum, Surfaces, and Films

J. P. Booth Laboratoire de Physique des Plasmas (LPP), CNRS-Ecole Polytechnique, Route de Saclay, Palaiseau 91128, France

J. P. Booth Laboratoire de Physique des Plasmas (LPP), CNRS-Ecole Polytechnique, Route de Saclay, Palaiseau 91128, France Surface loss rates of and Cl radicals in an inductively coupled plasma etcher derived from time-resolved electron density and optical emission measurements G. A. Curley, L. Gatilova, S. Guilet, and S.

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

Anisotropic and Smooth Inductively Coupled Plasma (ICP) Etching of III-V Laser Waveguides using HBr-O 2 Chemistry

Anisotropic and Smooth Inductively Coupled Plasma (ICP) Etching of III-V Laser Waveguides using HBr-O 2 Chemistry Anisotropic and Smooth Inductively Coupled Plasma (ICP) Etching of III-V Laser Waveguides using HBr-O 2 Chemistry S. Bouchoule a), S. Azouigui, S. Guilet, G. Patriarche, L. Largeau, A. Martinez, L. Le

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

The chemistry of a CCl 2 F 2 radio frequency discharge

The chemistry of a CCl 2 F 2 radio frequency discharge The chemistry of a CCl 2 F 2 radio frequency discharge W. W. Stoffels, E. Stoffels, M. Haverlag, G. M. W. Kroesen, and F. J. de Hoog Department of Physics, Eindhoven University of Technology, 5600 MB Eindhoven,

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Equilibrium model for two low-pressure electronegative plasmas connected by a double layer

Equilibrium model for two low-pressure electronegative plasmas connected by a double layer PHYSICS OF PLASMAS 13, 093504 2006 Equilibrium model for two low-pressure electronegative plasmas connected by a double layer P. Chabert, a N. Plihon, C. S. Corr, and J.-L. Raimbault Laboratoire de Physique

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Investigation of Water Fragments

Investigation of Water Fragments National Nuclear Research University MEPhI Federal State Autonomous Institution for Higher Education 31 Kashirskoe shosse 115409 Moscow, Russia VAT registration number, 7724068140 REG. No 1037739366477

More information

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 5 1 SEPTEMBER 2000 Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities M. V. Malyshev, a) N. C. M. Fuller, b) K. H. A.

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Diagnósticos em Plasmas

Diagnósticos em Plasmas Tecnologia a Plasma para o Processamento de Materiais Diagnósticos em Plasmas Diagnósticos Ópticos João Santos Sousa, nº50901 Semestre Inverno 2004/2005 21 de Janeiro de 2005, 9h-10h, sala F8 Contents

More information

Determination of Electron Temperatures in Rare- Gases Plasma

Determination of Electron Temperatures in Rare- Gases Plasma Iraqi J. of Appl. Phys., Vol. 4, No.,, -9 Faez M. Ibraheem Department of Physics, College of Science, University of Al-Fateh, Tripoli, Libya faez_ibraheem@hotmail.com Determination of Electron mperatures

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Intensity / a.u. 2 theta / deg. MAPbI 3. 1:1 MaPbI 3-x. Cl x 3:1. Supplementary figures

Intensity / a.u. 2 theta / deg. MAPbI 3. 1:1 MaPbI 3-x. Cl x 3:1. Supplementary figures Intensity / a.u. Supplementary figures 110 MAPbI 3 1:1 MaPbI 3-x Cl x 3:1 220 330 0 10 15 20 25 30 35 40 45 2 theta / deg Supplementary Fig. 1 X-ray Diffraction (XRD) patterns of MAPbI3 and MAPbI 3-x Cl

More information

Quantum Dot Lasers. Jose Mayen ECE 355

Quantum Dot Lasers. Jose Mayen ECE 355 Quantum Dot Lasers Jose Mayen ECE 355 Overview of Presentation Quantum Dots Operation Principles Fabrication of Q-dot lasers Advantages over other lasers Characteristics of Q-dot laser Types of Q-dot lasers

More information

Secondary Ion Mass Spectroscopy (SIMS)

Secondary Ion Mass Spectroscopy (SIMS) Secondary Ion Mass Spectroscopy (SIMS) Analyzing Inorganic Solids * = under special conditions ** = semiconductors only + = limited number of elements or groups Analyzing Organic Solids * = under special

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

Reference literature. (See: CHEM 2470 notes, Module 8 Textbook 6th ed., Chapters )

Reference literature. (See: CHEM 2470 notes, Module 8 Textbook 6th ed., Chapters ) September 17, 2018 Reference literature (See: CHEM 2470 notes, Module 8 Textbook 6th ed., Chapters 13-14 ) Reference.: https://slideplayer.com/slide/8354408/ Spectroscopy Usual Wavelength Type of Quantum

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp Chapter 8 Summary and outlook In the present work reactive plasmas have been investigated by comparing experimentally obtained densities with the results from a simple chemical model. The studies have

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES Michael A. Lieberman University of California, Berkeley lieber@eecs.berkeley.edu DOE Center on Annual Meeting May 2015 Download this talk: http://www.eecs.berkeley.edu/~lieber

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Dissociative Excitation of H2 in an RF Plasma

Dissociative Excitation of H2 in an RF Plasma Macalester Journal of Physics and Astronomy Volume 4 Issue 1 Spring 2016 Article 2 May 2016 Dissociative Excitation of H2 in an RF Plasma John Carlson Macalester College, jcarls10@macalester.edu Abstract

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production White Paper Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production The properties of InP which combine a wide band gap with high electron mobility, make it a desirable

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

vacuum analysis plasma diagnostics surface science gas analysis

vacuum analysis plasma diagnostics surface science gas analysis Hiden EQP Systems High Sensitivity Mass and Energy Analysers for Monitoring, Control and Characterisation of Ions, Neutrals and Radicals in Plasma. vacuum analysis surface science gas analysis plasma diagnostics

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma Effects of cross field diffusion in a low pressure high density oxygen/silane plasma C. Charles Citation: Journal of Vacuum Science & Technology A 20, 1275 (2002); doi: 10.1116/1.1481042 View online: http://dx.doi.org/10.1116/1.1481042

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Qiaowei Lou, Sanbir Kaler, Vincent M. Donnelly, a) and Demetre J. Economou b) Plasma Processing

More information

PHYSICAL VAPOR DEPOSITION OF THIN FILMS

PHYSICAL VAPOR DEPOSITION OF THIN FILMS PHYSICAL VAPOR DEPOSITION OF THIN FILMS JOHN E. MAHAN Colorado State University A Wiley-Interscience Publication JOHN WILEY & SONS, INC. New York Chichester Weinheim Brisbane Singapore Toronto CONTENTS

More information

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device 1 EX/P4-8 Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device T. Hino 1,2), T. Hirata 1), N. Ashikawa 2), S. Masuzaki 2), Y. Yamauchi

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Electroluminescence from a single nanotube-molecule-nanotube junction Christoph W. Marquardt, Sergio Grunder, Alfred Błaszczyk, Simone Dehm, Frank Hennrich, Hilbert v. Löhneysen,

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

CHARACTERIZATION OF A DC PLASMA WITH HOLLOW CATHODE EFFECT

CHARACTERIZATION OF A DC PLASMA WITH HOLLOW CATHODE EFFECT Romanian Reports in Phisics, Vol. 56, No., P. 71-76, 004 CHARACTERIZATION OF A DC PLASMA WITH HOLLOW CATHODE EFFECT A. R. PETRE 1, M. BÃZÃVAN 1, V. COVLEA 1, V.V. COVLEA 1, ISABELLA IOANA OPREA, H. ANDREI

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

3 - Atomic Absorption Spectroscopy

3 - Atomic Absorption Spectroscopy 3 - Atomic Absorption Spectroscopy Introduction Atomic-absorption (AA) spectroscopy uses the absorption of light to measure the concentration of gas-phase atoms. Since samples are usually liquids or solids,

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

MODELING PLASMA PROCESSING DISCHARGES

MODELING PLASMA PROCESSING DISCHARGES MODELING PROCESSING DISCHARGES M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators: E. Kawamura, D.B. Graves, and A.J. Lichtenberg,

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012830 TITLE: XPS Study of Cu-Clusters and Atoms in Cu/SiO2 Composite Films DISTRIBUTION: Approved for public release, distribution

More information

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES Chemistry 524--Final Exam--Keiderling May 4, 2011 3:30 -?? pm -- 4286 SES Please answer all questions in the answer book provided. Calculators, rulers, pens and pencils are permitted. No open books or

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements Uwe Scheithauer, 82008 Unterhaching, Germany E-Mail: scht.uhg@googlemail.com Internet: orcid.org/0000-0002-4776-0678;

More information

Photoelectron Spectroscopy using High Order Harmonic Generation

Photoelectron Spectroscopy using High Order Harmonic Generation Photoelectron Spectroscopy using High Order Harmonic Generation Alana Ogata Yamanouchi Lab, University of Tokyo ABSTRACT The analysis of photochemical processes has been previously limited by the short

More information

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth Institute of Physics Publishing Journal of Physics: Conference Series 10 (2005) 246 250 doi:10.1088/1742-6596/10/1/061 Second Conference on Microelectronics, Microsystems and Nanotechnology Oxidation of

More information

Modelling of the Target Voltage Behaviour in Reactive Sputtering R. De Gryse*, D. Depla University Ghent, Krijgslaan 281/S1, B-9000 GENT, Belgium

Modelling of the Target Voltage Behaviour in Reactive Sputtering R. De Gryse*, D. Depla University Ghent, Krijgslaan 281/S1, B-9000 GENT, Belgium Modelling of the Target Voltage Behaviour in Reactive Sputtering R. De Gryse*, D. Depla University Ghent, Krijgslaan 28/S, B-9 GENT, Belgium Abstract It has been shown that at least two mechanisms are

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials*

Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials* Pure Appl. Chem., Vol. 74, No. 3, pp. 435 439, 2002. 2002 IUPAC Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials* T. Ishigaki 1,, N. Okada

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. DOI: 10.1038/NPHOTON.2016.254 Measurement of non-monotonic Casimir forces between silicon nanostructures Supplementary information L. Tang 1, M. Wang

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information