Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Size: px
Start display at page:

Download "Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma"

Transcription

1 Journal of The Electrochemical Society, 147 (5) (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang, In-Hwan Lee, and Seong-Ju Park z Department of Materials Science and Engineering and Center for Optoelectronic Materials Research, Kwangju Institute of Science and Technology, Kwangju , Korea The effects of added H 2, Ar, and CH 4 gases on the etch characteristics of GaN and InGaN were studied using an inductively coupled Cl 2 -based plasma. Each added gas had a unique effect on the etch rate, anisotropy, surface roughness, and sidewall morphology. The most anisotropic etch profile was obtained using Cl 2, but the etched surface showed the roughest morphology and was covered with etch residues, the origins of which were the micromasking of the sputtered dielectric. When H 2 gas was added to the Cl 2 plasma, the etch residues were removed and the surface roughness was decreased, even though the etch rate was slightly decreased. The etch rate of GaN by Cl 2 /H 2 /Ar plasmas was saturated above an Ar flow rate of 16 sccm and the surface roughness of the etched GaN was lower, compared with Cl 2 /H 2 plasmas at low source power. Finally, it was found that the In compound was etched as a result of reaction with CH The Electrochemical Society. S (99) All rights reserved. Manuscript submitted September 30, 1999; revised manuscript received January 21, Cl 2 -based plasmas are in extensive use in the etching of Si, Al, and III-nitrides in a variety of processes. The highest dry etch rates for III-nitride materials have been achieved with high density plasmas, produced via electron cyclotron resonance (ECR) or inductively coupled plasma (ICP). 1,2 The majority of previous studies have been directed toward mesa formation in UV/blue/green photonic devices, where the etch depths are relatively large and the final surface morphology is not that important. Currently, attention is being directed to the development of GaN-based electronic devices for high power switching and transmission applications. 3,4 The etching requirements are quite different for these high power devices and care must be taken to retain smooth surface morphologies, in order to obtain ohmic contacts with low contact resistivity. To achieve this requirement, some researchers have examined the use of alternative plasma chemistries, such as those based on bromine and iodine. 5 Because chlorine-based gas chemistry is widely used in the processing of semiconductor devices, and can be easily accommodated in nitride-based device processing, it would be desirable to use a Cl 2 - based plasma to etch GaN, to give a smooth etched surface by applying suitable gas additives. Cho et al. 2 reported binary gas chemistries such as Cl 2 /Ar, Cl 2 /N 2, and Cl 2 /H 2, and concluded that ICP discharges are well-suited to achieving smooth etched surfaces when appropriate plasma conditions are used. Shul et al. 6 studied the Cl 2 - based ECR etching of GaN as a function of the percent H 2 and SF 6. Smith et al. 1 and Shul et al. 7 reported a high etch rate for GaN using inductively coupled Cl 2 /Ar and Cl 2 /H 2 /Ar plasmas, respectively. However, to date, only a few reports on the effect of gas additives to Cl 2 plasmas have appeared. In this paper, we report on the effects of gas additives such as H 2, Ar, and CH 4 on the etch characteristics of GaN and InGaN and, in addition, on the plasma characteristics of an inductively coupled Cl 2 -based plasma. Each gas additive had unique effects on the etch rate, etch anisotropy, surface roughness, and sidewall morphology, all of which require reliable control for device fabrication. Experimental The n-type GaN and In 0.12 Ga 0.88 N epi layers with thickness of 1.5 and 0.3 m, respectively, were grown on a c plane sapphire substrate by metallorganic chemical vapor deposition (MOCVD). The GaN layer showed strong band-edge photoluminescence (PL) at room temperature. The mole fraction of In in the InGaN was obtained from PL measurement. All samples were cut into m squares, except for the sample etched with pure Cl 2 plasma. In this z sjpark@kjist.ac.kr case, a whole wafer with a diameter of 2 in. was used, in order to increase the optical emission spectroscopy (OES) signal and to identify the etch product. The etch reactor was equipped with a 3 kw ICP power supply and connected to a load lock chamber. Superimposing an rf power (13.56 MHz) of between 100 and 250 W on the sample provided the dc-bias voltages for the control of the impinging ion energy. All samples were mounted on an anodized Al carrier which was clamped to a cathode and back-side cooled with He gas. SiO 2 was deposited on the sample as a mask layer by plasma enhanced chemical vapor deposition (PECVD), and patterned by means of carbon-based photoresist (PR). After PR lithography by a conventional flood exposure, the SiO 2 mask was patterned with a buffered oxide etchant (BOE). The SiO 2 mask was then stripped away with a buffered-hf solution after the plasma etching process was completed. The etch conditions used in this study were 30 sccm Cl 2, 8 sccm H 2,8 30 sccm Ar, 10 mtorr pressure, W ICP power, 20 C table temperature, and W rf power (dc bias between 90 and 400 V). All experiments were conducted after the background pressure had been reduced to less than mbar. During the etching process, the plasmas were monitored by OES. Etch rates were estimated from time-averaged depth profiles, measured with a surface profilometer after removal of the SiO 2 mask. Etch anisotropy and etched surface morphology were examined by scanning electron microscopy (SEM) and atomic force microscopy (AFM). Results and Discussion Figure 1 shows a typical optical emission spectrum from a Cl 2 plasma. The emission bands from Cl 2 (306 nm), Cl 2 ( nm), and atomic Cl ( nm) 8 are prominent in the spectrum. Atomic Ga (403, 417 nm) and GaCl x ( nm) 9 were observed in the spectrum as an etch product. The Ga signal at 403 nm was also visible when GaN was etched in a pure Ar plasma, in which only physical sputtering exist, as shown in the inset of Fig. 1. These results indicate that both physical and chemical processes take place during the etching of GaN by a Cl 2 plasma. Figure 2 shows the etch rate of GaN with the Cl 2 plasma as a function of ICP power at 10 mtorr. During the experiment, the rf power was maintained at a constant level of 100 W. With increasing ICP power, the induced dc bias voltage decreases, due to the increased plasma density at higher ICP power. 9 However, the GaN etch rate increases with increasing ICP power, as the result of the higher concentration of reactive species in the plasma, indicating that the etching proceeds in a reactant-limited regime 9 under the etching conditions employed in this study. An anisotropic feature,

2 1860 Journal of The Electrochemical Society, 147 (5) (2000) Figure 1. Typical OES from a Cl 2 plasma during etching of GaN at 1000 W of ICP power and 100 W of rf power. The inset shows the Ga signal at 403 nm when GaN is etched with pure Ar plasma. which is the result of a physical process, was clearly visible on the etched surface as shown in Fig. 3. At a low ICP power of 500 W, needles were formed on the etched surface (Fig. 3a), which might be caused by the micromasking effect of the Si-based dielectric which was sputtered by the impinging energetic ions. 10 Although, the needles could be partially removed by increasing the ICP power as shown in Fig. 3b due to the increase in the chemical process by the increasing plasma flux, the rms roughness value measured by AFM was still in excess of 500 Å. Figure 4 shows the OES of a Cl 2 /H 2 plasma. The Balmer series of atomic H spectral lines are easily resolved at 434, 486, and 656 nm. The intensities of Cl radicals and the Cl 2 spectral band, however, were considerably decreased, in contrast to those of the Cl 2 plasma in Fig. 1 probably because H is capable of scavenging reactive species such as Cl, Cl, and Cl 2. These decreased emission intensities of the reactive chlorine species will have an influence on the etch rate, since Cl radicals and ions are mainly responsible for the chemical and physical etch process. Some researchers 2,11 proposed that hydrogen might cause a decrease in the density of neutral atomic chlorine and the formation of HCl. The data herein clearly confirm this proposal. Figure 5a shows the etch rate of GaN etched in the Cl 2 /H 2 (30/8 sccm) plasma as a function of ICP power. The etch rate of GaN by the Cl 2 /H 2 plasma increases with increasing ICP power. However, the etch rate of GaN in the Cl 2 /H 2 plasma is slightly lower than that in the Cl 2 plasma under the same conditions. Smith et al. 1 also Figure 3. SEM image of a Cl 2 plasma etched surface at (a) 500 W of ICP power and (b) 1000 W of ICP power. reported a similar result, that is, the etch rate of GaN in the Cl 2 /Ar plasma increases with increasing ICP power. However, they did not examine the effects of the reactive chlorine and ion densities on the etch rate. To investigate the relation of plasma species to the etch rate of GaN, the rare-gas actinometric method 12,13 was used in this study. Figure 5b shows the relative OES intensity ratios of chlorine radicals (754.7 nm) and chlorine ions (455 nm) 14 normalized with respect to Figure 2. Etch rate of GaN vs. ICP power in a Cl 2 plasma at 100 W of rf power. Figure 4. OES from Cl 2 /H 2 (30/8 sccm) plasma at 1000 W of ICP power and 100 W of rf power.

3 Journal of The Electrochemical Society, 147 (5) (2000) 1861 the Ar (750.4 nm) emission intensity as a function of ICP power. At 150 W of rf power, the etch rate is dependent on the relative intensity of chlorine atoms, while it is dependent on the relative intensity of chlorine ions at 250 W of rf power. Figure 6 shows the rms roughness of etched samples which were measured using AFM, which was normalized to the rms roughness of the as-grown samples. The normalized roughnesses of all samples etched at high rf power are larger than those at low rf power and these are independent of ICP power, as shown in Fig. 6. These results clearly indicate that the physical process is dominant in the etching of GaN using chlorine plasma at high ion energy. Figure 7 shows the SEM images of a GaN surface etched with (a) Cl 2 and (b) Cl 2 /H 2 plasma at a high ICP power (2000 W). The GaN surface etched with a Cl 2 /H 2 plasma is smoother than that with a Cl 2 plasma due to the removal of the needles by suppressing the scattering of mask materials by hydrogen. 10 Moreover, the anisotropy in the etch profile of the sidewall was decreased slightly as shown in Fig. 7b, indicating that a more isotropic etching process took place, probably due to a decrease in the impinging ions. These results demonstrate that the addition of H 2 to the chlorine plasma enhances the chemical etching process, resulting in a more isotropic profile and smoother etched surfaces. In Fig. 8a, the OES of a Cl 2 /H 2 /Ar mixed gas plasma shows the spectral lines of Ar at 415 and 420 nm, in addition to those of Cl 2 and H 2 (see Fig. 4). Atomic spectra with stronger intensity are also seen between 700 and 900 nm and these overlap the atomic spectra of Cl. The addition of Ar to the Cl 2 /H 2 mixed gas plasma considerably increased the intensities of all reactants, especially atomic Cl radicals which were decreased by the addition of H 2 to the Cl 2 gas (see Fig. 1 and 4). The effect of the Ar additive gas can be clearly seen in the etch rate of GaN as shown in Fig. 8b. The etch rate of GaN increases up to 50% at 16 sccm of Ar. However, the induced dc bias voltage decreases with added Ar, suggesting that the etch process is largely dominated by the reactive chemical species in the Figure 5. Etch rate of GaN vs. ICP power with (a) Cl 2 /H 2 (30/8 sccm) plasma at 1000 W ICP power and 100 W rf power, and (b) rare-earth actinometry of chlorine and chlorine ion. Figure 6. RMS roughness of GaN etched with Cl 2 /H 2 (30/8 sccm) plasma. The rms values were normalized to those of an as-grown sample. Figure 7. SEM image of etched GaN surface with (a) Cl 2 and (b) Cl 2 /H 2 plasmas.

4 1862 Journal of The Electrochemical Society, 147 (5) (2000) Figure 8. (a) OES from Cl 2 /H 2 /Ar (30/8/23 sccm) plasma at 1000 W of ICP power and 100 W of rf power. (b) Etch rate of GaN vs. Ar flow rate in Cl 2 /H 2 /Ar gas mixture. Figure 9. RMS roughness of GaN etched with Cl 2 /H 2 /Ar and Cl 2 /H 2. plasma. It has also been reported that the etch rate of III-V semiconductors is increased by Ar addition either via an increase in radical density (e.g., by Penning ionization) or the enhanced ion-sputter removal of the etch product by the Ar. 2,15 Figure 9 shows the rms roughness of GaN etched with Cl 2 /H 2 /Ar and Cl 2 /H 2 as a function of ICP power. The rms roughness was measured by AFM in a contact mode. When Ar is not added, the surface roughness is relatively independent of ICP power. However, the surface roughness sharply increases with increasing the ICP power when Ar is added to the plasma. This is probably due to the generation of a large amount of Ar ion flux. Low rms roughnesses can be seen at lower ICP power (<1500 W), which might be caused by the enhancement of sputter removal of etch product by Ar. 15 However, the anisotropy was not influenced by added Ar up to 32 sccm of Ar flow rate. These results show that the addition of Ar to the Cl 2 -based plasma is necessary in order to achieve a high etch rate and a smooth surface morphology which are, in turn, required in the fabrication of device structures. In the fabrication of blue light emitting diodes and laser diodes where an In-containing compound is used as an active layer, the etching of In becomes very important. When a Cl 2 -based plasma is used, the presence of In leads to a roughening of the etched surface and sidewall, 16 resulting in poor optical performance of the device. 17 Since the In-containing compound is believed to be etched by a reaction with CH radicals to form volatile metallorganic indium compound [In(CH x ) y ], 18 we investigated the etch characteristics of InGaN by adding CH 4 to the Cl 2 plasma. Figure 10 shows the OES of mixtures of (a) Cl 2 /H 2 /Ar and (b) Cl 2 /CH 4 /H 2 /Ar gas plasmas at 1000 W of ICP power and 100 W of rf table power. In the case of Cl 2 /CH 4 /H 2 /Ar plasma, the CH spectral line at nm, which is formed by dissociative excitation of CH 4 (CH 4 e r CH* H 2 H e) can be seen and the intensity of the H spectrum is enhanced by the dissociation of CH 4. However, the intensities of the Cl and Figure 10. OES of (a) Cl 2 /H 2 /Ar and (b) Cl 2 /CH 4 /H 2 /Ar at 200 W of rf powers.

5 Journal of The Electrochemical Society, 147 (5) (2000) 1863 is etched by reaction with CH 4. Therefore, the Cl 2 /CH 4 /H 2 /Ar plasma chemistry is recommended for the etching of In-containing GaN structures. Conclusions The effects of gas additives H 2, Ar, and CH 4 on the etch characteristics of GaN and InGaN have been examined using an inductively coupled Cl 2 -based plasma. In pure Cl 2 plasmas, the etch profile was the most anisotropic, but the etched surface was very rough. The etched surface was covered with some etch residues which originated from the micromasking of a sputtered dielectric. When H 2 gas was added to the Cl 2 plasma, the OES intensity of all the chlorine species was decreased due to H scavenging and the etch rate was slightly decreased. However, the etch residues were removed and the surface roughness was decreased. When Ar gas was added to the Cl 2 /H 2 plasma, the OES intensity of all the species in the plasma was increased due to Penning ionization. The etch rate of GaN by Cl 2 /H 2 /Ar plasma was sharply increased but saturated above an Ar flow rate of 16 sccm. The surface roughness of the etched GaN was small with Cl 2 /H 2 plasmas at low source power. Finally, it was found that the In compound was etched by reaction with CH 4. Acknowledgment This work was supported by the Korea Research Foundation through grant no E Kwangju Institute of Science and Technology assisted in meeting the publication costs of this article. Figure 11. Emission intensity of (a) Cl and (b) CH, and (c) etch rate of InGaN as a function of CH 4 flow rate. Cl 2 emission bands are decreased due to the H scavenging. Figure 11 shows the plasma emission intensity of (a) atomic Cl, (b) CH, and (c) the etch rate of InGaN as a function of CH 4 concentration at 1000 W of ICP power and 100 W of rf power. Although the quantitative measurement of radical density requires the use of actinometry, actinometers which are suitable for the study of CH 4 -containing plasma have not yet been devised to our knowledge. Furthermore, Pearton et al. 19 reported that the correlation of the emission intensity of active etchant species produced by a high density plasma and the etch rate of semiconductor was a more convenient method for technological purposes. Therefore plasma emission peak intensities were used for determining the effect of CH 4 additive gas in the Cl 2 plasma chemistry in this work. Figure 11a and b shows that the Cl emission intensity is decreased, due to H, which is derived from CH 4 while CH emission intensity increases up to 20% of CH 4 and then decreases. The etch rate of InGaN in Fig. 11c is closely related to the CH intensity, showing an increase in etch rate of up to 20% of CH 4. At levels of CH 4 in excess of 30%, the etch rate is decreased, which is probably due to the formation of a polymer film which is hydrocarbon in nature. 20 This result suggests that the CH radical intensity is closely related to the etch rate of InGaN and that the In compound References 1. S. A. Smith, C. A. Wolden, M. D. Bremser, A. D. Hanser, R. F. Davis, and W. V. Lampert, Appl. Phys. Lett., 71, 3631 (1997). 2. H. Cho, C. B. Vartuli, C. R. Abernathy, S. M. Donovan, S. J. Pearton, R. J. Shul, and J. Han, Solid State Electron., 42, 2277 (1998). 3. M. A. Khan, M. S. Shur, J. N. Kuznia, O. Chen, J. Burm, and W. Schaff, Appl. Phys. Lett., 66, 1083 (1996). 4. O. Aktas, Z. Fan, S. N. Mohammad, A. Botchkarev, and H. Morkoc, Appl. Phys. Lett., 69, 3872 (1996). 5. S. J. Pearton, C. R. Abernathy, and C. B. Vartuli, Electron. Lett., 30, 1985 (1994). 6. R. J. Shul, C. I. H. Ashby, D. J. Rieger, A. J. Howard, S. J. Pearton, C. R. Abernathy, C. B. Vartuli, P. A. Barnes, and P. Davis, Mater. Res. Soc. Symp. Proc., 395, 751 (1996). 7. R. J. Shul, G. B. McClellen, S. A. Casalnuovo, D. J. Rieger, S. J. Pearton, C. Constantine, C. Barratt, R. F. Karlicek, Jr., C. Tran, and M. Schurman, Appl. Phys. Lett., 69, 1119 (1996). 8. V. M. Donnelly, J. Vac. Sci. Technol. A, 14, 1076 (1996). 9. G. Franz, J. Vac. Sci. Technol. A, 16, 1542 (1998). 10. G. Franz, Phys. Status. Solidi A, 159, 137 (1997). 11. R. J. Shul, R. D. Briggs, S. J. Pearton, C. B. Vartuli, C. R. Abernathy, J. W. Lee, C. Constantine, and C. Barratt, Mater. Res. Soc. Symp. Proc., 449, 969 (1996). 12. M. V. Malyshev and V. M. Donnelly, J. Vac. Sci. Technol. A, 15, 550 (1997). 13. R. d Agostino, F. Cramarossa, S. D. Benedictis, and F. Fracassi, Plasma Chem. Plasma Proc., 4, 163 (1984). 14. V. M. Donnelly, D. L. Flamm, and R. H. Bruce, J. Appl. Phys., 58, 2135 (1985). 15. J. W. Lee, S. J. Pearton, C. R. Abernathy, W. S. Hobson, and F. Ren, J. Electrochem. Soc., 39, 1095 (1996). 16. R. J. Shul, S. P. Kilcoyne, M. H. Crawford, J. E. Parmeter, C. B. Vartuli, C. R. Abernathy, and S. J. Pearton, Appl. Phys. Lett., 66, 1761 (1995). 17. F. Binet, J. Y. Duboz, N. Laurent, C. Bonnat, P. Collot, F. Hanauer, O. Briot, and R. L. Aulombard, Appl. Phys. Lett., 72, 960 (1998). 18. U. Niggebrugge, M. Klug, and G. Garus, Inst. Phys. Conf. Ser., 79, 367 (1986). 19. S. J. Pearton, T. A. Keel, A. Katz, and F. Ren, Semicond. Sci. Technol., 8, 1889 (1993). 20. C. B. Vartuli, S. J. Pearton, J. W. Lee, J. D. MacKenzie, and C. R. Abernathy, J. Electrochem. Soc., 144, 2844 (1997).

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

M R S Internet Journal of Nitride Semiconductor Research

M R S Internet Journal of Nitride Semiconductor Research Page 1 of 6 M R S Internet Journal of Nitride Semiconductor Research Volume 9, Article 7 The Ambient Temperature Effect on Current-Voltage Characteristics of Surface-Passivated GaN-Based Field-Effect Transistors

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

June Key Lee. Department of Materials Science and Engineering, Chonnam National University, Gwangju (Received 26 August 2008)

June Key Lee. Department of Materials Science and Engineering, Chonnam National University, Gwangju (Received 26 August 2008) Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1140 1144 Surface and Electrical Properties of Inductively-coupled Plasma-etched N-face n-gan and a Method of Reducing the Ohmic

More information

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Yutaka Tokuda Department of Electrical and Electronics Engineering, Aichi Institute of Technology,

More information

experiment (DOE) is performed to characterize the effect of ICP power, RIE power,

experiment (DOE) is performed to characterize the effect of ICP power, RIE power, Characterization of a 10 μm/min chlorine-based ICP etch process for GaAs vias R.J. Westerman, D.J. Johnson, Y.S. Lee Unaxis USA, Inc., St. Petersburg, Florida 33716 Abstract The development of a 10μm/min

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 6, JUNE 2001 1065 Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes Hyunsoo Kim, Seong-Ju Park, and Hyunsang Hwang Abstract

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Effects of Pressure and NH 3 Flow on the Two-Dimensional Electron Mobility in AlGaN/GaN Heterostructures

Effects of Pressure and NH 3 Flow on the Two-Dimensional Electron Mobility in AlGaN/GaN Heterostructures Journal of the Korean Physical Society, Vol. 42, No. 5, May 2003, pp. 691 695 Effects of Pressure and NH 3 Flow on the Two-Dimensional Electron Mobility in AlGaN/GaN Heterostructures Dong-Joon Kim Optical

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films

Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films Ž. Applied Surface Science 140 1999 215 222 Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films H. Cho a,), K.B. Jung a, D.C. Hays a, Y.B. Hahn a,1, E.S. Lambers

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells R. J. Choi, H. W. Shim 2, E. K. Suh 2, H. J. Lee 2, and Y. B. Hahn,2, *. School of Chemical Engineering

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... III V Semiconductor Etching Process Quality Rather Than Quantity Alan Webb (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... ) Wednesday 12 th October 2016 Ricoh Arena, Coventry, UK Part of The

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

Improved electroluminescence from silicon nitride light emitting devices by localized surface plasmons

Improved electroluminescence from silicon nitride light emitting devices by localized surface plasmons Improved electroluminescence from silicon nitride light emitting devices by localized surface plasmons Dongsheng Li, * Feng Wang, Changrui Ren, and Deren Yang State Key Laboratory of Silicon Materials

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

PREPARATION OF LUMINESCENT SILICON NANOPARTICLES BY PHOTOTHERMAL AEROSOL SYNTHESIS FOLLOWED BY ACID ETCHING

PREPARATION OF LUMINESCENT SILICON NANOPARTICLES BY PHOTOTHERMAL AEROSOL SYNTHESIS FOLLOWED BY ACID ETCHING Phase Transitions Vol. 77, Nos. 1 2, January February 2004, pp. 131 137 PREPARATION OF LUMINESCENT SILICON NANOPARTICLES BY PHOTOTHERMAL AEROSOL SYNTHESIS FOLLOWED BY ACID ETCHING X. LI, Y. HE, S.S. TALUKDAR

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Smooth Surface Dry Etching of Diamond by Very High Frequency Inductively Coupled Plasma

Smooth Surface Dry Etching of Diamond by Very High Frequency Inductively Coupled Plasma H. New Yoshikawa Diamond et and al. Frontier Carbon Technology 97 Vol. 16, No. 2 2006 MYU Tokyo NDFCT 509 Smooth Surface Dry Etching of Diamond by Very High Frequency Inductively Coupled Plasma Hiromichi

More information

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production White Paper Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production The properties of InP which combine a wide band gap with high electron mobility, make it a desirable

More information

Excitation-Wavelength Dependent and Time-Resolved Photoluminescence Studies of Europium Doped GaN Grown by Interrupted Growth Epitaxy (IGE)

Excitation-Wavelength Dependent and Time-Resolved Photoluminescence Studies of Europium Doped GaN Grown by Interrupted Growth Epitaxy (IGE) Mater. Res. Soc. Symp. Proc. Vol. 866 2005 Materials Research Society V3.5.1 Excitation-Wavelength Dependent and Time-Resolved Photoluminescence Studies of Europium Doped GaN Grown by Interrupted Growth

More information

CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING

CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING Q. Yang 1, C. Xiao 1, R. Sammynaiken 2 and A. Hirose 1 1 Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place Saskatoon, SK

More information

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Indian Journal of Pure & Applied Physics Vol. 48, October 2010, pp. 723-730 Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Bahaa T Chiad a, Thair L Al-zubaydi

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch Jpn. J. Appl. Phys. Vol. 42 (23) pp. 5759 5764 Part 1, No. 9A, September 23 #23 The Japan Society of Applied Physics Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

More information

Investigation of H 2 :CH 4 Plasma Composition by Means of Spatially Resolved Optical Spectroscopy

Investigation of H 2 :CH 4 Plasma Composition by Means of Spatially Resolved Optical Spectroscopy Vol. 114 (2008) ACTA PHYSICA POLONICA A No. 6 A Optical and Acoustical Methods in Science and Technology Investigation of H 2 :CH 4 Plasma Composition by Means of Spatially Resolved Optical Spectroscopy

More information

vacuum analysis plasma diagnostics surface science gas analysis

vacuum analysis plasma diagnostics surface science gas analysis Hiden EQP Systems High Sensitivity Mass and Energy Analysers for Monitoring, Control and Characterisation of Ions, Neutrals and Radicals in Plasma. vacuum analysis surface science gas analysis plasma diagnostics

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors.

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Jusang Park * Hyungjun Kim School of Electrical and Electronics Engineering, Yonsei University, 262 Seongsanno,

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Correlation between Current Collapse Phenomena and Deep-Level Defects in AlGaN/GaN Hetero-Structures Probed by Deep-Level Optical Spectroscopy

Correlation between Current Collapse Phenomena and Deep-Level Defects in AlGaN/GaN Hetero-Structures Probed by Deep-Level Optical Spectroscopy 総合工学第 23 巻 (211) 頁 Correlation between Current Collapse Phenomena and Deep-Level Defects in AlGaN/GaN Hetero-Structures Probed by Deep-Level Optical Spectroscopy Yoshitaka Nakano Abstract: We have investigated

More information

Determination of Electron Temperatures in Rare- Gases Plasma

Determination of Electron Temperatures in Rare- Gases Plasma Iraqi J. of Appl. Phys., Vol. 4, No.,, -9 Faez M. Ibraheem Department of Physics, College of Science, University of Al-Fateh, Tripoli, Libya faez_ibraheem@hotmail.com Determination of Electron mperatures

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information