ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Size: px
Start display at page:

Download "ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA"

Transcription

1 ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting Prof. HKU p. 1 S. W. Pang Etching Requirements Flexibility to Optimize Processes Low Cost and High Throughput System With Low Downtime Uniform Etching Better Than 5% - Minimize Etch Rate Dependence on Feature Size, Wafer Size, Etch Depth, Aspect Ratio, Adjacent Features, Position on Wafer High Selectivity to Mask and Layer Below Good Profile Control to Avoid Undercutting Low Device Damage With Low Ion Energy and Uniform Plasma Low Particle Generation (< μm Particles/wafer) Environmental Issues to Reduce Chemical Waste The University of Michigan on Visiting Prof. HKU p. 2 S. W. Pang

2 Wet Chemical Etching Usually for Surface Cleaning and Complete Removal of a Layer (e.g. Photoresist, Oxide) Advantages of Wet Etching - Low Cost, Simple System - Highly Selective to Mask and Underlying Layer - Batch Processing With Larger Number of Wafers (>24) at a Time for High Throughput Disadvantages of Wet Etching - Isotropic Etch With Undercut Profile - For Small and High Aspect Ratio Features, Difficult to Get Solvents in and Out, Can Cause Non-uniform Etch - Need to Provide Waste Treatment for Large Quantity of Solvents The University of Michigan on Visiting Prof. HKU p. 3 S. W. Pang WET ISOTROPIC ETCHING SIMILAR ETCH RATES IN THE VERTICAL AND HORIZONTAL DIRECTIONS FEATURES BECOME LARGER WITH ROUNDED PROFILE AFTER ETCHING DIFFICULT TO CONTROL EXACT DIMENSION OR PROFILE SURFACE ROUGHNESS DEVELOPED DUE TO PREFERENTIAL ETCHING The University of Michigan on Visiting Prof. HKU p. 4 S. W. Pang

3 WET ISOTROPIC ETCHING SOLUTIONS TYPICAL ETCHANT FOR Si 1:3:8 HF:HNO 3 :CH 3 COOH HNO 3 - OXIDIZE Si; HF - ETCH SiO 2 ACETIC ACID PREVENT HNO 3 DISSOCIATION 3Si + 18HF + 4HNO 3 3H 2 SiF 6 + 8H 2 O + 4NO ETCH RATES: Si (0.5 TO 3 µm/min), SiO 2 (30 nm/min) Si 3 N 4 ETCHANT : H 3 PO 4 AT o C Al ETCHANT : H 3 PO 4 + HNO 3 + CH 3 COOH The University of Michigan on Visiting Prof. HKU p. 5 S. W. Pang ANISOTROPIC WET ETCHING FASTER ETCH RATE IN ONE DIRECTION THAN THE OTHER ETCH RATE DEPENDS ON CRYSTALLINE STRUCTURE DENSE CRYSTAL PLANES (e.g. <111> IN Si) ETCH SLOWER THAN LESS DENSE PLANES (<100> OR <110>) MAXIMUM ETCH DEPTH DEPENDS ON FEATURE SIZE ETCH STOP OR SELECTIVITY BASED ON DOPING The University of Michigan on Visiting Prof. HKU p. 6 S. W. Pang

4 TYPICAL ANISOTROPIC WET ETCHANTS FOR Si ETCHANT TEMP Si RATE SiO 2 RATE Si 3 N 4 RATE (100)/(111) ( C) (µm/min) (nm/min) (nm/min) RATIO KOH :1 EDP :1 TMAH :1 EDP ETHYLENE DIAMINE PYROCATECHOL TMAH TETRAMETHYL AMMONIUM HYDROXIDE The University of Michigan on Visiting Prof. HKU p. 7 S. W. Pang WET ETCHANTS COMPARISONS KOH EDP TMAH COMMON SOLUTION, EASY DISPOSAL ORIENTATION DEPENDENT ETCH, SMOOTH SURFACE MOBIL ION CONTAMINATION SELECTIVE ETCH WITH p ++ ETCH STOP METAL ETCH MASK (e.g. Cr, Cu, Ta, ) EXCEPT Al CARCINOGENIC, CORRISIVE, REFLUX CONDENSER NEEDED NO MOBILE ION, SAFER, EASIER TO SETUP Al AS ETCH MASK WITH Si ADDED OR LOWER ph ROUGHER SURFACE (H 2 BUBBLES) IF CONCENTRATION <20% The University of Michigan on Visiting Prof. HKU p. 8 S. W. Pang

5 KOH ETCHING OF Si ALKALI METALS CONTAMINATION FOR INTEGRATED CIRCUITS HIGHLY SELECTIVITY ORIENTATION, SiO 2 / Si 3 N 4, DOPING ETCH STOP BORON DOPED >2X10 19 cm -3 TYPICAL MIXTURE KOH (4 g); ISOPROPANOL (100 ml); H 2 O KOH OXIDIZE Si; IPA SATURATE SOLUTION H 2 O FORM OH - Si + 2KOH + H 2 O K 2 SiO 3 + 2H 2 J. B. PRICE, PROC. SEMICONDUCTOR SILICON, ELECTROCHEM. SOC. P. 339 (1973) The University of Michigan on Visiting Prof. HKU p. 9 S. W. Pang Si ETCHED IN KOH Si (100) Si ETCHED INTERCEPT AT o (110) Si ETCHED 80 µm DEEP INTERCEPT AT 90 o W. R. RUNYAN AND K. E. BEAN, SEMICONDUCTOR INTEGRATED CURCUIT PROCESSING TECHNOLOGY, ADDISON-WESLEY, NY, 1990 The University of Michigan on Visiting Prof. HKU p. 10 S. W. Pang

6 Wet Etchants for ICs The University of Michigan on Visiting Prof. HKU p. 11 S. W. Pang WET VS. DRY ETCHING CHEMICAL CONSUMPTION AND DISPOSAL LIQUID VS. GAS PROFILE CONTROL DIRECTIONAL REACTIVE SPECIES FOR VERTICAL PROFILE TAPERED, ROUNDED, MIRRORS, LENSES CHEMICAL VS. PHYSICAL DIRECTIONALITY AND DENSITY OF NEUTRAL SPECIES VS. CHARGED PARTICLES DAMAGE CHARGING, ION BOMBARDMENT, CONTAMINATION The University of Michigan on Visiting Prof. HKU p. 12 S. W. Pang

7 PLASMA GENERATION FOR DRY ETCHING GAS IONIZED BY rf/microwave POWER CONTAINS IONS (POSITIVE AND NEGATIVE), NEUTRALS, ELECTRONS, PHOTONS ONLY % OF THE GAS IS IONIZED REACTIVE SPECIES GENERATED BY IMPACT IONIZATION, DISSOCIATION, EXCITATION, RELAXATION, AND RECOMBINATION PARTICLE MASS (g) TEMP (K) VELOCITY (cm/s) CURRENT (A/cm 2 ) NEUTRAL 6.6x x IONS 6.6x x x10-6 ELECTRONS 9.1x x x10-3 WHERE v = 8kT πm AND J = qnv 4 The University of Michigan on Visiting Prof. HKU p. 13 S. W. Pang Plasma Generation - I Gases ionized by external energy (rf or microwave power) to generate ions, electrons, photons, and neutral reactive species Still mostly gas molecules since <10% is ionized Electron impact ionization - Remove electrons from atom/molecule e - + Ar Ar + + 2e - Neutrals Ions with ion energy - Ionization potential (minimum energy to remove most weakly bound electrons) for Ar = 15.8 ev - Multiplication of electrons maintains plasma and keeps the processes going Excitation - Electrons jump to a higher energy level within an atom e - + Ar Ar * + e - Ground State Unstable Excited State - Excitation potential (lower than ionization potential, easier to excite within same atom) for Ar = ev The University of Michigan on Visiting Prof. HKU p. 14 S. W. Pang

8 Plasma Generation - II Relaxation - Unstable excited state returns to ground state by emission of photons of energy equal to ΔE Ar* Ar + hν (Photons) - Color in plasma depends on characteristics of atoms /molecules. In visible range: nm (violet to red of 1.7 to 3 ev) - Optical emission spectrum consists of excited etch and product species. Can be used to monitor reactive species in plasma and etch products. For Example: Si at nm; F at 704 nm Photon energy identify species Light intensity concentration of species The University of Michigan on Visiting Prof. HKU p. 15 S. W. Pang Recombination - Electrons and ions recombine to form neutral species, makes stable plasma with fixed number of electrons and ions. Otherwise electron and ion density will keep increasing e - + F + F Dissociation - Break apart molecules e - + O 2 e - +O +O (more reactive than O 2 ) e- + O 2 2e - +O + +O (dissociation ionization) Electron Attachment - Electrons join an atom to form negative ions. Mostly with halogen atoms (e.g. F, Cl, Br, ) with 1 unfilled state in outer shell e - + SF 6 SF - 6 e - + SF 6 SF 5- +F (dissociation attachment) Ion-Neutral Collisions - Charge transfer or further ionization. Change energy distribution of ions and neutrals in reactor Ar + + Ar Ar + + O Plasma Generation - III Ar+Ar + Ar+O + (less efficient) The University of Michigan on Visiting Prof. HKU p. 16 S. W. Pang

9 RIE SYSTEM REACTIVE GASES (e.g. SF6, Cl2) A2, V2 D2 Plasma D1 WAFER A1, V1 -Vdc rf The University of Michigan on Visiting Prof. HKU p. 17 S. W. Pang VOLTAGE DISTRIBUTION ACROSS ELECTRODES V p IS POSITIVE (10-70 V) NEGATIVE V dc SINCE ELECTRONS ARE FASTER THAN IONS (-30 TO -500 V) FOR HIGH ASPECT RATIO MEMS, NEED TO REDUCE V dc WHILE MAINTAINING HIGH ETCH RATE Y GROUNDED Vp -Vdc 0 rf POWERED V The University of Michigan on Visiting Prof. HKU p. 18 S. W. Pang

10 TYPICAL PLASMA CHARACTERISTICS FOR RIE PLASMA CONDITIONS TYPICAL VALUES rf POWER W/cm 2 rf FREQUENCY dc BIAS PRESSURE GAS FLOW MHz (100 KHz-27 MHz) V mtorr sccm WAFER TEMPERATURE 300 K (-130 TO 400 o C) ELECTRON TEMPERATURE 2-10 ev ( K) ION TEMPERATURE 0.05 ev (600 K) GAS DENSITY cm -3 ION/ELECTRON DENSITY cm -3 ION FLUX cm 2 /s RADICAL FLUX cm 2 /s NEUTRAL FLUX cm 2 /s HIGH DENSITY PLASMA SYSTEMS (e.g. INDUCTIVELY COUPLED PLASMA SOURCE OR ICP) CAN BE USED TO REDUCE V dc AND INCREASE CONCENTRATION OF REACTIVE SPECIES The University of Michigan on Visiting Prof. HKU p. 19 S. W. Pang INDUCTIVELY COUPLED PLASMA (ICP) SYSTEM MORE FLEXIBLE SEPARATE POWER SUPPLIES FOR SOURCE AND STAGE HIGH ION DENSITY, LOWER V dc SOURCE rf POWER ( 2 MHz, W ) VIEWPORT 4-TURN rf COUPLING COIL 16 PERMANENT MAGNETS WAFER CLAMPING MASS SPECTROMETER SOURCE GAS RING SUBSTRATE STAGE ALUMINA CHAMBER STAGE GAS RING LOAD LOCK ADJUSTABLE STAGE TO SOURCE DISTANCE (6-25 cm) HEATING /COOLING TURBO/ROOTS BLOWER rf POWER MHz W The University of Michigan on Visiting Prof. HKU p. 20 S. W. Pang

11 CONTROLLABLE PARAMETERS IN DRY ETCHING GASES - FLOW, MIXTURE 1 sccm = Torr-l/s = 2.7x10 19 mol/min PRESSURE - RESIDENCE TIME τ = pv Q For 100 sccm flow (Q); V = 15 l; pressure = 10 mtorr; τ = 0.12 s POWER - POWER COUPLED IN; FREQUENCY; PULSING CYCLING SWITCHING GASES, POWER, PRESSURE TEMPERATURE - ACTIVATION ENERGY, ADSORPTION, DESORPTION CHAMBER MATERIALS AND CONDITIONS The University of Michigan on Visiting Prof. HKU p. 21 S. W. Pang UNCONTROLLABLE PARAMETERS IN DRY ETCHING SAMPLE VARIATION - MATERIAL, MASK, OXIDE, RESIDUE RESIDUAL GASES - LEAK, ADSORPTION ON WALL, GASES FROM PREVIOUS CYCLES STABILIZATION GAS FLOW, PRESSURE, POWER POWER LOSS - INEFFICIENT COUPLING WAFER TEMPERATURE VARIATION - POOR THERMAL CONDUCTANCE METER OFFSET - RECALIBRATION NEEDED PUMP SPEED VARIATION - OIL AND FILTER REPLACEMENT The University of Michigan on Visiting Prof. HKU p. 22 S. W. Pang

12 REACTIONS ON WAFER SURFACE WAFERS ARE EXPOSED TO IONS, ELECTRONS, NEUTRALS TRANSPORT OF REACTIVE SPECIES AND ETCH PRODUCTS PROCESS CONDITIONS GEOMETRY OF STRUCTURES SURFACE REACTIONS PHYSICAL, CHEMICAL, ION ASSISTED REACTIONS BOTTOM SURFACE VS. SIDEWALL ETCHING VS. DEPOSITION RADIATION EFFECTS CHARGING RELATED TO PLASMA UNIFORMITY AND HIGH DENSITY CHARGED PARTICLES DEFECT GENERATION DUE TO HIGH ENERGY PHOTONS The University of Michigan on Visiting Prof. HKU p. 23 S. W. Pang ION ASSISTED ETCHING PRESENCE OF IONS AND REACTIVE NEUTRALS Si ETCH RATE (nm/min) XeF2 + Ar + Ar + Only XeF2 Only TIME (s) ETCH RATE ENHANCEMENT DUE TO IONS AND REACTIVE NEUTRALS IS SUBSTANTIAL, NOT JUST THE TWO ADDED TOGETHER COBRUN AND WINTERS, J. APPL. PHYS. 50, 3189 (1974) The University of Michigan on Visiting Prof. HKU p. 24 S. W. Pang

13 EFFECTS OF GAS CHEMISTRY - 1 FORMATION OF VOLATILE ETCH PRODUCTS Si + 4F SiF 4 V.P. AT 1 Torr 144 o C Si + 4Cl SiCl 4 63 o C SiO 2 + 4F + C SiF 4 + CO 2 Al + 3Cl AlCl o C Al + 3F AlF o C ADDITION OF INERT GASES (e.g. Ar, He) CHANGES ELECTRON DISTRIBUTION AND COMPOSITION OF REACTIVE SPECIES DILUTION; STABILIZATION; COOLING; SPUTTERING The University of Michigan on Visiting Prof. HKU p. 25 S. W. Pang EFFECTS OF GAS CHEMISTRY - 2 ENHANCE REACTIVE SPECIES GENERATION O + CF X CO + F + CF X-1 ETCH RATE INCREASES DUE TO HIGH [F] AND LESS POLYMER DEPOSITION ENHANCE POLYMER FORMATION H + CF X CHF X OR HF + CF X-1 ETCH RATE DECREASES DUE TO MORE POLYMER DEPOSITION AND LESS [F] The University of Michigan on Visiting Prof. HKU p. 26 S. W. Pang

14 OXYGEN ADDITION IN CF 4 Si SiO2 O2 in CF4 (%) FOR SMALL O 2 %, ETCH RATE INCREASES DUE TO HIGHER [F] FOR LARGE O 2 %, ETCH RATE DECREASES DUE TO DILUTION LESS EFFECT ON SiO 2 SINCE IT HAS SELF SUPPLY OF [O] The University of Michigan on Visiting Prof. HKU p. 27 S. W. Pang SIDEWALL PASSIVATION BY POLYMER SiO2 Si DEPOSIT H2 in CF4 (%) MORE POLYMER DEPOSITION AND LESS [F] AS H 2 IS ADDED INCREASE SELECTIVITY BETWEEN SiO 2 AND Si LESS EFFECT ON SiO 2 SINCE IT HAS SELF SUPPLY OF [O] The University of Michigan on Visiting Prof. HKU p. 28 S. W. Pang

15 F vs. Cl for Metal Etching Etch Products with Lower Boiling Point are Easier to Remover with Faster Etch Rate and Perhaps More Undercut Presence of Ions can Enhance Etch Product Removal The University of Michigan on Visiting Prof. HKU p. 29 S. W. Pang Dry Etchants for ICs The University of Michigan on Visiting Prof. HKU p. 30 S. W. Pang

16 ION ENERGY REDUCES AT HIGH PRESSURE Eion PRESSURE MORE PHYSICAL MORE CHEMICAL LOWER ION ENERGY DUE TO MORE COLLISIONS IONS AND REACTIVE NEUTRALS DO NOT NECESSARY INCREASE WITH PRESSURE DUE TO RECOMBINATION AFFECT DISTRIBUTION OF REACTIVE SPECIES, ADSORPTION, DESORPTION The University of Michigan on Visiting Prof. HKU p. 31 S. W. Pang EFFECTS OF PRESSURE AND FEATURE SIZE ON UNDERCUT WIDTH MICROWAVE/rf POWER 100/100 W, 8 cm, 25 o C, 15 µm ETCH DEPTH UNDERCUT WIDTH (µm) µm 10 µm SPEEDIE PRESSURE (mtorr) The University of Michigan on Visiting Prof. HKU p. 32 S. W. Pang

17 EFFECT OF GAS FLOW RATE ON ETCH RATE OPTIMAL ETCH RATE LOW HIGH GAS FLOW RATE LOW FLOW - LIMITED BY REACTANTS HIGH FLOW - RESIDENCE TIME REDUCED, PUMPED AWAY BEFORE REACTIONS The University of Michigan on Visiting Prof. HKU p. 33 S. W. Pang Si AVERAGE ETCH RATE AS A FUNCTION OF TRENCH ASPECT RATIO MICROWAVE/rf POWER 100/100 W, 3 mtorr, 8 cm, 20 sccm Cl 2 ETCH RATE DECRASES AS ASPECT RATIO BECOMES HIGHER AVERAGE ETCH RATE (nm/min) R = 156 -A 130 W 120 H TRENCH ASPECT RATIO (A=H/W) W. H. JUAN AND S. W. PANG, J. VAC. SCI. TECHNOL. 14, P (1996). The University of Michigan on Visiting Prof. HKU p. 34 S. W. Pang

18 COMPARISONS OF Si DRY ETCHING USING F- AND Cl-BASED GASES SYSTEM F-BASED Cl-BASED GASES SF 6 /C 4 F 8 / O 2 Cl 2 PROCESS SPONTANEOUS ION-ASSISTED PASSIVATION POLYMER - ETCH MASK PHOTORESIST/SiO 2 SiO 2 /Ni ETCH RATE FASTER SLOWER ETCH SELECTIVITY HIGHER LOWER ASPECT RATIO >20:1 >40:1 WAFER TEMPERATURE CONTROLLED CONTROL NOT NEEDED PRESSURE >10 mtorr <1 mtorr LARGE FEATURES GOOD GOOD SMALL FEATURES POOR GOOD The University of Michigan on Visiting Prof. HKU p. 35 S. W. Pang Si ETCHING USING F-BASED GASES CYCLING BETWEEN ETCHING AND PASSIVATION PREVIOUS POLYMER COATING NEW POLYMER COATING MASK Si MASK Si ADDITIONAL ETCH DEPTH REPEATED CYCLES The University of Michigan on Visiting Prof. HKU p. 36 S. W. Pang

19 ADVANTAGES AND DISADVANTAGES OF ETCHING USING F-BASED GASES AND PASSIVATION ADVANTAGES FAST ETCH RATE HIGH SELECTIVITY FLEXIBLE PROFILE CONTROL DISADVANTAGES SURFACE ROUGHNESS SENSITIVE PROCESS THAT REQUIRES PRECISE BALANCE BETWEEN ETCHING AND PASSIVATION ETCH RATE AND PROFILE VARY WITH ETCH DEPTH AND FEATURE SIZE FREQUENT SWITCHING OF INSTRUMENTS The University of Michigan on Visiting Prof. HKU p. 37 S. W. Pang DEEP Si ETCHED USING PHOTORESIST MASK CYCLED BETWEEN SF 6 /O 2 FOR ETCHING AND C 4 F 8 FOR PASSIVATION PRESSURE ~35 mtorr 2 µm WIDE GAPS, 70 µm DEEP The University of Michigan on Visiting Prof. HKU p. 38 S. W. Pang

20 ROUGHNESS ALONG SIDEWALLS OF DEEP TRENCHES SCALLOPING VERTICAL STRIATIONS CYCLE DURATION INSUFFICIENT PASSIVATION BALANCE ETCH/PASSIVATION VARY WITH ASPECT RATIO The University of Michigan on Visiting Prof. HKU p. 39 S. W. Pang Sputtering or Ion Beam Etching Physical bombardment by ions only, no chemical reaction, simplest dry etching 1. Sputtered target atoms - etching 2. Reflected ions, mostly neutralized 3. Ejected secondary electrons 4. Ion implantation with ions staying inside target 5. Displacement of target materials - Radiation damage creates vacancies, interstitials, traps, amorphous layer, stoichiometry changes; Could induce substantial Device Damage The University of Michigan on Visiting Prof. HKU p. 40 S. W. Pang

21 Sputtering Kinetics Energy transfer between incoming ions and target atoms through series of collisions Conservation of Energy 1 2 m v 2 i i = 1 2 m u 2 i i m u 2 t t Conservation of Momentum m i v i = m i u i + m t u t Sputtering Yield (S) - Number of target atoms ejected per incident ion. S depends on ion energy, atomic number of incoming ion and target atoms, surface binding energy of target, and angle of ion incidence. High S will provide high etch rate The University of Michigan on Visiting Prof. HKU p. 41 S. W. Pang Sputtering Yield S = α( E i on E th ) atoms ions E ion and E th in KeV; Valid with E ion up to few KeV. Beyond that, ion implantation will dominate and there is no etching E th = threshold energy (~10-50 ev) α = 5.2 U Z t 2 / (Z 3 2 / t + Z 3 i ) ( Z i ) 2 / 3 atoms 3 / 4 Z i + Z t KeV U = Surface Binding Energy (ev/atom) Z i, Z t = Atomic number of ions and target atoms S increases with m i and E ion The University of Michigan on Visiting Prof. HKU p. 42 S. W. Pang

22 Etch Efficiency Example: Ar ev to etch W Z t = 74; Z i = 18; U W = 8.29 ev/atom; E th = 33 ev S = 0.19 atom/ion Similar to experimental result: S ~0.1 atom/ion S also depends on angle of incident ions S(θ i ) = cos -n θ i (S(0 )) with n ~1 to 3 S(θ i ) max ~30 to 70 Maximum etch rate occurs ~45, not at normal incident The University of Michigan on Visiting Prof. HKU p. 43 S. W. Pang Angle dependent Sputter Yield Ejection of target atoms in forward direction is easier with less directional change of momentum Off normal incidence confine action to surface rather than deep in substrate When θ i is too large (e.g. // to surface), not sufficient energy/momentum transfer. The ions just slide // to surface at glazing angle The University of Michigan on Visiting Prof. HKU p. 44 S. W. Pang

23 Etch Rate Sputtering Rate r s ( atoms cm 2 s ) = SJ ion q J ion = Ion current density (A/cm 2 ) Etch Rate r ( nm s ) = rw s ρn A W = Atomic Weight; ρ = Density; N A = Avogadro's Number Example: Ar + to etch W S = 0.1 atom/ion; J ion = 1x10-3 A/cm 2 r s ( atoms cm 2 s ) = (0.1)(10 3 ) = 6.25x x10 19 r = r (6.25x10 sw 14 = ρn A atoms cm 2 s )(183.85g) (16.6 g cm 3 )(6.02x1023 mol 1 ) S = nm/s = 6.9 nm/min (very slow) Sputtering rate is very slow and not selective The University of Michigan on Visiting Prof. HKU p. 45 S. W. Pang Chemical Reactions Increase Etch Rate Example: Spontaneous Si etching with XeF 2 Simplest case no ions needed. The presence of ions will enhance reactions Si + 2XeF 2 SiF 4 + 2Xe The University of Michigan on Visiting Prof. HKU p. 46 S. W. Pang

24 A. Diffusion of XeF 2 to Si Surface B. Adsorption of XeF 2 on Si 4-Step Etch Process kaf XeF2 (g) + Si kar Net adsorption rate: Si.F2 + Xe r a = k af C XeF2 C v k ar C Si.F2 C Xe (1) k af = Ae E a kt ;K A = k af k ar r a = k af (C XeF2 C v C Si.F 2 C Xe K A ) C v = Vacant Sites on Si; E a = Activation Energy The University of Michigan on Visiting Prof. HKU p. 47 S. W. Pang C. Surface reaction on Si 4-Step Etch Process - II Si.F2 + XeF2 (g) ksf ksr Net surface reaction rate: D. Desorption of etch product from Si kdf Si.SiF4 SiF4 + Si kdr Net desorption rate: Si.SiF4 + Xe r s = k sf (C XeF2 C Si.F2 C Si.SiF 4 C Xe K S )(2) r d = k df (C Si.SiF4 C SiF 4 C v K D )(3) The University of Michigan on Visiting Prof. HKU p. 48 S. W. Pang

25 Rate Limiting Step Total number of sites available on Si C T = C V +C Si.F2 +C Si.SiF4 (4) C T is known; C v, C Si.F2, C Si.SiF4 are unknown Need to find out which one is the rate limiting step - All reactions have to wait for the rate limiting step to finish before they can proceed For example, if r s is the rate limiting step, then k af, k df >> k sf r a k af << r s k sf The University of Michigan on Visiting Prof. HKU p. 49 S. W. Pang Relate Knowns to Unknowns Since r a is constant and k af is large, r a / k af <<1; from (1) C XeF2 C v C Si.F 2 C Xe K A 0 C Si.F2 Unknown = K AC XeF2 C v C Xe Know or can be Estimated Similarly, r d is constant and k df is large, r d / k df <<1; from (3) C Si.SiF4 C SiF 4 C v K D 0 Unknown C Si.SiF4 = C SiF 4 C v K D The University of Michigan on Visiting Prof. HKU p. 50 S. W. Pang

26 Find C T Relate to Etch Rate C T = C V +C Si.F2 +C Si.SiF4 C T = C V (1+ K AC XeF2 C Xe + C SiF4 K D ) In steady state, r a =r s =r d r = k sf C XeF2 C Si.F2 r = k sf C XeF2 K A C XeF2 C v C Xe r = k sf (C XeF 2 ) 2 K A C Xe C T 1+ K AC XeF2 C Xe + C SiF4 K D The University of Michigan on Visiting Prof. HKU p. 51 S. W. Pang Reaction Kinetics Make an assumption of the rate limiting step Solve for rate in terms of etch products, etch species, rate constants, and surface coverage Check and see if rate dependence agrees with results. If not, a different rate limiting step has to be used Ion Assisted Reactions - Reaction rates are enhanced when ions are present besides neutrals The University of Michigan on Visiting Prof. HKU p. 52 S. W. Pang

27 Ion Assisted Etching Neutrals A + S k CA r=kc A where C A is the concentration of etch product due to etching of A k=k o e -Ea/kT where E a is the activation energy Ions (Faster Rate) A + + e - + S k + CA + r + =k + C + A where C + A is the concentration of etch product due to etching of A k + =k o e -(Ea Eo) /kt k + increases due to E a reduction by E o (k + > k); E o is proportional to E ion The University of Michigan on Visiting Prof. HKU p. 53 S. W. Pang Etch Rate with Ion Assisted Etching Total etch rate: r T =r+r + r T = k o C A e ( E a / kt ) (1+αe E o / kt ) whereα = C A + C A (o < α <1) α is degree of ionization Faster etch rate due to the presence of ions The University of Michigan on Visiting Prof. HKU p. 54 S. W. Pang

28 Considerations for Dry Etching Deposition During Etching Charging Undercut due to Neutrals and Ion Scattering Mask Erosion Trenching Dry Etch Induced Damage The University of Michigan on Visiting Prof. HKU p. 55 S. W. Pang

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching 課程名稱 : 微製造技術 Microfabrication Technology 授課教師 : 王東安 Lecture 6 Etching 1 Lecture Outline Reading Campbell: Chapter 11 Today s lecture Wet etching Chemical mechanical polishing Plasma etching Ion milling

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 1 MDL 2. Basic IC fabrication processes 2.1 Deposition and growth 2.2

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C. Etching Etching Terminology Etching Considerations or ICs Wet Etching Reactie Ion Etching (plasma etching) 1 Etch Process - Figures o Merit Etch rate Etch rate uniormity Selectiity Anisotropy 2 (1) Bias

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Secondary Ion Mass Spectroscopy (SIMS)

Secondary Ion Mass Spectroscopy (SIMS) Secondary Ion Mass Spectroscopy (SIMS) Analyzing Inorganic Solids * = under special conditions ** = semiconductors only + = limited number of elements or groups Analyzing Organic Solids * = under special

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle Lecture 10 Vacuum Technology and Plasmas Reading: Chapter 10 Vacuum Science and Plasmas In order to understand deposition techniques such as evaporation, sputtering,, plasma processing, chemical vapor

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production White Paper Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production The properties of InP which combine a wide band gap with high electron mobility, make it a desirable

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Issued: Tuesday, Oct. 14, 2014 PROBLEM SET #7 Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Electroplating 1. Suppose you want to fabricate MEMS clamped-clamped beam structures

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. DOI: 10.1038/NPHOTON.2016.254 Measurement of non-monotonic Casimir forces between silicon nanostructures Supplementary information L. Tang 1, M. Wang

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Chemistry Instrumental Analysis Lecture 34. Chem 4631

Chemistry Instrumental Analysis Lecture 34. Chem 4631 Chemistry 4631 Instrumental Analysis Lecture 34 From molecular to elemental analysis there are three major techniques used for elemental analysis: Optical spectrometry Mass spectrometry X-ray spectrometry

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Reactive Etching and Surface Damage

Reactive Etching and Surface Damage PARTI Reactive Etching and Surface Damage ATOMIC AND MOLECULAR BEAM STUDIES OF ETCHING AND RELATED SURFACE CHEMISTRIES J.W. Coburn IBM Research Division, K33/801, 650 Harry Road, San Jose, CA 95120-6099

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information