Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Size: px
Start display at page:

Download "Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching"

Transcription

1 Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006

2 Coping with spatial variation in DRIE Why non-uniformity is a problem Controlling uniformity with the mask design Characterizing tools performance Wafer-to-wafer effects Improving the mask design process 2

3 Non-uniformity problems in MEMS Aim: remove imbalance in MIT Microengine rotor ~10 mm SEM picture of turbine blades Mask layout e.g.: A.H. Epstein et al., Proc. Transducers 97 3

4 Non-uniformity problems in MEMS also: embossing stamp fabrication for microfluidics manufacture Si stamp Thermoplastic polymer Cover perhaps too: avoiding footing during SOI etch-through 4

5 Inductively-coupled plasma in DRIE chamber cross-section vacuum chamber ~ mtorr gas inlet wafer plasma X ~ r.f. supply to excite plasma to wafer load lock chuck exhaust ~ independent control of ions acceleration towards wafer 5

6 Time-multiplexed Bosch processing C 4 F 8 passivation SF 6 etch mask: e.g. SiO 2, photoresist X C 4 F 8 ~ every ~10 s S a F b+, F SF 6 ~ X ~ ~ Journal of The Electrochemical Society, 146 (1) (1999); Robert Bosch GmbH, Pat. 4,855,017 and 4,784,720 (USA) and C1 (Germany) (1994) 6

7 Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F 7

8 Strategies for improving uniformity Force etching to be reaction-limited Lower chamber pressure Wafer cooling Conservative mask design Improved tool design Relate mask design directly to non-uniformity Design mask according to desired uniformity Perturb etch rates constructively 8

9 Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F x 9

10 Previously observed chamber-scale variation 1% 5% 1 20% 81 position index 70% 95% pattern density test patterns H.K. Taylor et al., submitted for publication 10

11 Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F 11

12 Previously observed pattern-dependent variation Average pattern density 5% throughout Localized to differing extents H.K. Taylor et al., submitted for publication 12

13 A two-level model, tuned for each tool + recipe characterization wafers characterization wafers A B filter magnitude radial distance + 2 scalar variables two-level model H. Sun et al., Proc. MEMS 05 + work submitted for publication 13

14 Basis for chamber-scale model? ion flux, ion J i J i Silicon siliconsilicon F Neutral neutral flux, J n J n adsorbed Adsorbed neutrals neutrals lateral transport J i (x, y) C(x, y) generation, recombination R: etch rate Θ: surface coverage ke i : activity constant for ions vs 0 : activity constant for radicals R = kθe J i i R = vs0( 1 Θ) J n 1 = J R keij i vs0 n Synergism model: Gottscho et al., J Vac Sci Tech B (1992). Right: H.K. Taylor et al., submitted for publication Consumption: J n (x, y) C: fluorine concentration G: fluorine generation rate ρ: wafer-average pattern density C = α τ Gτ [ ρ + α ( 1 ρ) ] rate ct. selectivity loading mask silicon 14

15 Basis for chamber-scale model? X Assuming: A, J i B, G n + 2 scalar variables ion flux independent of etched pattern F generation (G) independent of etched pattern neutral flux (J n ) F concentration F concentration depleted as loading increases F concentration depends on G H.K. Taylor et al., submitted for publication 15

16 Time-multiplexed Bosch processing C 4 F 8 passivation SF 6 etch mask: e.g. SiO 2, photoresist X C 4 F 8 ~ every ~10 s S a F b+, F SF 6 ~ X ~ ~ Journal of The Electrochemical Society, 146 (1) (1999); Robert Bosch GmbH, Pat. 4,855,017 and 4,784,720 (USA) and C1 (Germany) (1994) 16

17 Accounting for spontaneity in Si etching 5 SF 6 etch with no passivation steps usual condition for recipe used SF 6 ~ x S a F b+, F platen power ~ Etch rate cut by ~15% when ion flux minimized But spontaneous etching is readily incorporated into map A: R R ( ke J c) i i + ( ) 0 Θ J n = Θ = vs 1 17

18 Accounting for time-multiplexing T tot flow rate T etch SF 6 T pass T Si-etch C 4 F 8 time Non-uniformity of CF x flux may matter Ion flux definitely important (T strip ) Ion flux important to an extent (T Si-etch ) 18

19 tot Time-multiplexing model R Si is the etch rate our previous model would have predicted. Overall, modified rate prediction: Tpassk passj CF R x ( ) Si Tetch T R J Si etchrsi Si Tetch T strip ik strip R' = = = T T T tot If we define a = T etch /T tot, p = J i k strip, q = k pass J CFx, we have: tot R' = [ a( p + q) q] p R Si R = ma + c with m = (p + q)r Si /p and c = qr Si /p. 19

20 Rate of passivation removal (R ) Here, etch step only just removes passivation ρ = 1% ρ = 40% ρ = 95% ρ = 5% qr Si /p (p + q)r Si /p Basic recipe (a) 20

21 (Short-term) memory effect in chamber Thermal diffusivity of aluminum ~ 10-4 m 2 /s Over 5, characteristic length ~0.17 m Over 30, characteristic length ~0.42 m % 5% 99.9% 5% several cycles 21

22 (Short-term) memory effect in chamber 0.1% 5 5% 99.9% several cycles 5 5% 0.1% 30 5% 99.9% 30 5% several cycles 22

23 Pattern component of memory effect? 5% 5 X Reference 5% (B) after Y Reference 5% (A) after X 5% A 5% 5% 5 Y B 5% (even) X 5% (concentrated into eighth) Y 23

24 Pattern component of memory effect? 5% (even) X Reference 5% (B) after Y Reference 5% (A) after X 5% (concentrated into eighth) Y Etch rate difference A B (μm/min) Diametrical position /4 mm 24

25 Putting two-level model into action discretized mask design + scalar constants two-level model takes a few seconds to run drafting software refine mask design highlight problems on-screen 25

26 CAD tool for nonuniformity prediction Die-scale variation Chamber-scale variation Combined prediction Discretized mask design Ali Farahanchi 26

27 Acknowledgements Ali Farahanchi, Tyrone Hill, Hongwei Sun and Duane Boning Leslie Lea, Janet Hopkins and Nick Appleyard (Surface Technology Systems Ltd.) Ciprian Iliescu and Franck Chollet Singapore-MIT Alliance Cambridge-MIT Institute 27

Modeling of MEMS Fabrication Processes

Modeling of MEMS Fabrication Processes Modeling of MEMS Fabrication Processes Prof. Duane Boning Microsystems Technology Laboratories Electrical Engineering and Computer Science Massachusetts Institute of Technology September 28, 2007 Spatial

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Latifa Louriki 1, *, Peter Staffeld 1, Arnd Kaelberer 1 and Thomas Otto 2 1 Robert Bosch GmbH, Reutlingen D-72762,

More information

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS Maria Suggs, Physics Major, Southern Polytechnic State University

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 21: Gyros

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers

Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers Hayden Taylor and Duane Boning Microsystems Technology Laboratories Massachusetts Institute

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

NUMERICAL MODELING OF HEAT-MASS TRANSFER IN RADIAL FLOW PLASMA-CHEMICAL REACTOR WITH MULTICOMPONENT KINETICS CF4/O2

NUMERICAL MODELING OF HEAT-MASS TRANSFER IN RADIAL FLOW PLASMA-CHEMICAL REACTOR WITH MULTICOMPONENT KINETICS CF4/O2 NUMERICAL MODELING OF HEAT-MASS TRANSFER IN RADIAL FLOW PLASMA-CHEMICAL REACTOR WITH MULTICOMPONENT KINETICS CF 4 /O 2 Yu.N. Grigoryev and A.G. Gorobchuk Institute of Computational Technologies SB RAS,

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

b. The displacement of the mass due to a constant acceleration a is x=

b. The displacement of the mass due to a constant acceleration a is x= EE147/247A Final, Fall 2013 Page 1 /35 2 /55 NO CALCULATORS, CELL PHONES, or other electronics allowed. Show your work, and put final answers in the boxes provided. Use proper units in all answers. 1.

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING Maryam Alsadat Rad* and Kamarulazizi Ibrahim Nano Optoelectronics Research & Technology Lab,

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI AT STANFORD Four labs under NNCI Umbrella at Stanford SNSF Stanford

More information

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching Journal of Physics: Conference Series OPEN ACCESS Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching To cite this article: P Moroz and D J Moroz 2014 J. Phys.: Conf. Ser. 550 012030

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS R.R.A Syms, C. Gormley and S. Blackstone Dept. of Electrical and Electronic Engineering, Imperial

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Defect management and control Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Background Case study: A maintainer has good hands Only he achieved good yield for every maintenance But... He could

More information

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

NSC E

NSC E NSC 892218E006071 1 Preparation of NSC Project Reports microfluidic channels are fabricated on quartz substrates and then used to imprint microstructures into Polymethylmethacrylate (PMMA) substrates using

More information

Characterization and Modeling of Pattern Dependencies and Time Evolution in Plasma Etching

Characterization and Modeling of Pattern Dependencies and Time Evolution in Plasma Etching Characterization and Modeling of Pattern Dependencies and Time Evolution in Plasma Etching By Ali Farahanchi.S L O TECHNOLOGY OCT 23 2008 Submitted to the Department of Electrical Engineering and Computer

More information

Edgeless sensors for full-field X-ray imaging

Edgeless sensors for full-field X-ray imaging Edgeless sensors for full-field X-ray imaging 12 th iworid in Cambridge July 14 th, 2010 Marten Bosma 12 th iworid, Cambridge - July 14 th, 2010 Human X-ray imaging High spatial resolution Low-contrast

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures Journal of Physics: Conference Series Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures To cite this article: Rizwan Malik et al 2011 J. Phys.: Conf. Ser.

More information

Low Temperature Bonding Using In-Situ Radical Activation. Tony Rogers & Nick Aitken

Low Temperature Bonding Using In-Situ Radical Activation. Tony Rogers & Nick Aitken Low Temperature Bonding Using In-Situ Radical Activation Tony Rogers & Nick Aitken Applied Microengineering Ltd, Unit 8 Library Avenue, Harwell Campus, Didcot, Oxon. OX11 0SG UK A tool for performing surface

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

process dependencies in nanoimprint

process dependencies in nanoimprint Modeling and mitigating pattern and process dependencies in nanoimprint lithography 23 June 2011 Hayden Taylor Singapore-MIT Alliance for Research and Technology formerly based at: Microsystems Technology

More information

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing Kyungyoon Noh, Nannaji Saka and Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology

More information

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen. Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen. Microsystems Technology Laboratories (MTL) lfvelasq@mit.edu November

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson October 31st, 2013 Acknowledgments Jason Kyle Anderson for his help in getting the system to work

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production White Paper Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production The properties of InP which combine a wide band gap with high electron mobility, make it a desirable

More information

Characterization of a Time Multiplexed Inductively Coupled Plasma Etcher

Characterization of a Time Multiplexed Inductively Coupled Plasma Etcher Journal of The Electrochemical Society, 146 (1) 339-349 (1999) 339 Characterization of a Time Multiplexed Inductively Coupled Plasma Etcher A. A. Ayón,* R. Braff, C. C. Lin, H. H. Sawin,* and M. A. Schmidt*

More information

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct Supplementary Information Rapid Stencil Mask Fabrication Enabled One-Step Polymer-Free Graphene Patterning and Direct Transfer for Flexible Graphene Devices Keong Yong 1,, Ali Ashraf 1,, Pilgyu Kang 1,

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary Abstract MEMS based gyroscopes have gained in popularity for use as rotation rate sensors in commercial products like

More information

Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas

Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas Journal of the Korean Physical Society, Vol. 47, November 2005, pp. S422 S428 Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology Towards nanoimprint lithography-aware layout design checking 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology Nanoimprint is the mechanical patterning of resist spun

More information

Tolerance analysis for comb-drive actuator using DRIE fabrication

Tolerance analysis for comb-drive actuator using DRIE fabrication Sensors and Actuators A 125 2006) 494 503 Tolerance analysis for comb-drive actuator using DRIE fabrication J. Li a,1, A.Q. Liu a,, Q.X. Zhang b a School of Electrical & Electronic Engineering, Nanyang

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis Outline Chemical Microsystems Applications Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis Fundamentals of Micromachining Dr. Bruce Gale With Special

More information

A Pendulous Oscillating Gyroscopic Accelerometer Fabricated Using Deep-Reactive Ion Etching

A Pendulous Oscillating Gyroscopic Accelerometer Fabricated Using Deep-Reactive Ion Etching JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 12, NO. 1, FEBRUARY 2003 21 A Pendulous Oscillating Gyroscopic Accelerometer Fabricated Using Deep-Reactive Ion Etching Todd J. Kaiser, Member, IEEE, and

More information

Atomic Fluorine Beam Etching Of Silicon And Related Materials

Atomic Fluorine Beam Etching Of Silicon And Related Materials Accepted by the Journal of Vacuum Science and Technology B. Assigned JVST Manuscript #11599. Atomic Fluorine Beam Etching Of Silicon And Related Materials P.R. Larson, K.A. Copeland, G. Dharmasena, R.A.

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Développement de micro-préconcentrateurs pour l'analyse de traces de gaz et explosifs.

Développement de micro-préconcentrateurs pour l'analyse de traces de gaz et explosifs. Développement de micro-préconcentrateurs pour l'analyse de traces de gaz et explosifs. JP Viricelle a, P. Breuil a, C. Pijolat a, F James a, M. Camara b, D. Briand b a Ecole Nationale des Mines, SPIN-EMSE,

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

ELECTRON-cyclotron-resonance (ECR) plasma reactors

ELECTRON-cyclotron-resonance (ECR) plasma reactors 154 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 10, NO. 1, FEBRUARY 1997 Plasma-Parameter Dependence of Thin-Oxide Damage from Wafer Charging During Electron-Cyclotron-Resonance Plasma Processing

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Profile simulations of gas chopping etching processes

Profile simulations of gas chopping etching processes Profile simulations of gas chopping etching processes - Model development and comparison with experiments - by Burkhard E. Volland A dissertation submitted in partial fulfillment of the requirements for

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Lecture 18: Microfluidic MEMS, Applications

Lecture 18: Microfluidic MEMS, Applications MECH 466 Microelectromechanical Systems University of Victoria Dept. of Mechanical Engineering Lecture 18: Microfluidic MEMS, Applications 1 Overview Microfluidic Electrokinetic Flow Basic Microfluidic

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 1258 2010 Materials Research Society 1258-Q14-02 Realization of silicon nanopillar arrays with controllable sidewall profiles by holography lithography and a novel single-step

More information

MICROMECHANICAL TEMPERATURE SENSOR

MICROMECHANICAL TEMPERATURE SENSOR MICROMECHANICAL TEMPERATURE SENSOR Ralitza Simeonova Gjosheva 2, Krassimir Hristov Denishev 1 1 Department of Microelectronics, Technical University - Sofia, 8 Kliment Ohridski Blvd., bl. 1, 1797-Sofia,

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information