Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas

Size: px
Start display at page:

Download "Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas"

Transcription

1 Journal of the Korean Physical Society, Vol. 47, November 2005, pp. S422 S428 Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas J. H. Park, N.-E. Lee and Jaichan Lee Department of Materials Science and Engineering and Center for Advanced Plasma Surface Technology, Sungkyunkwan University, Suwon J. S. Park and H. D. Park Korea Electronics Technology Institute, Seongnam In this study, deep reactive ion etching (DRIE) of borosilicate glass with a Ni hard mask was carried out in SF 6 and SF 6/Ar inductively coupled plasmas under continuous and cyclic etching modes. Continuous etching in SF 6 plasma resulted in glass channel profiles with an under-cut below the Ni hard mask and micro-trenching at the bottom of the etched channel. Continuous etching in SF 6/Ar plasma, on the other hand, removed the under-cut and micro-trenching but reduced the etch rate significantly. In order to enhance the glass etch rate in SF 6 plasma as well as to solve the problems such as under-cut and micro-trenching in SF 6 plasma, a cyclic etching process using SF 6/Ar (A-step) and SF 6 (B-step) plasmas was investigated by varying the period time and duty ratio. As a result, it was observed that the average etch rate during the cyclic etching process was slightly increased compared to that of the continuous SF 6 plasma etching and the profile angle was improved to >89 without under-cut and micro-trenching. PACS numbers: j, Hp, Kf, Pq Keywords: Borosilicate glass, Deep reactive ion etching, Micro-fluidic channel, Inductively coupled plasma, Ni hard mask, Cyclic etching process I. INTRODUCTION One of the most important technologies in the field of µ-tas (micro-total analysis system) development is the micromachining technology of glass, Si and polymer for microfluidic application. µ-tas [1] has been intensively investigated application in the areas of environmental monitoring [2], biomedical diagnosis [3] and other chemical analysis [4]. Recently, as an application of plasma etching technologies in microfabrication with µ-tas, DRIE of glass [5] or silica plates [6] has been developed and applied to the fabrication of microfluidic devices for biochemical [5] and environmental [6] applications. DRIE (deep reactive ion etching) is expected to be very useful for fabrication of microfluidic devices utilizing glass or quartz materials for dimensional precision and high aspect-ratio structures. In particular, DRIE is attractive because of the trend towards micrometer-scale and nanometer-scale structure fabrication by using glass or quartz. Although wet chemical etching is well developed for the fabrication of glass microfluidic channels [7 9], it has some inherent limitations due to the under-cut and the isotropic profile of the etched channel, in particular for nelee@skku.edu -S422- micro- and submicro-patterns. Dry-etching techniques, on the other hand, can generate an anisotropic and precise micro-scale profile due to the directional nature of the ion bombardment, affecting surface chemical reaction as well as physical sputtering [10 12]. In general, the controlling mechanisms of glass DRIE are chemical reaction of the neutrals with the elements and physical effects such as the ion bombardment that enhances removal of the reaction by-products [13 15]. Reactive ion etching studies of glass have been reported in previous experiments using various chemistries such as C 3 F 8 [6], CHF 3 [9], CF 4 /CHF 3 [13,18], SF 6 /Ar [14, 19], SF 6 [15, 16], CF 4 /O 2 [17, 20] and CF 4 /Ar [17, 20]. Dry etching of the glasses was carried out typically in capacitively coupled plasmas (CCP) [9, 17, 18], CCP/microwave plasma [20], and inductively coupled plasmas (ICP) [6, 14 17, 19]. Most of the etching work studied in CCP and ICP showed a low etch rate with a range of several tens to hundreds of nm/min and a low etch selectivity to the etch mask because the etched surface was protected by non-volatile compounds such as AlF 3, NaF, and KF formed during etching [6, 9, 13, 17 20]. Recently, etch rates as high as 0.6 µm/min [15, 16] and 1.2 µm/min [14,19] in SF 6 inductively coupled plasmas have been reported. The major challenges in glass plasma etching are the low etch rate, low etch selectivity of the glass to the etch mask, and fabrication of

2 Continuous and Cyclic Deep Reactive Ion etching of J. H. Park et al. a high-aspect-ratio structure. In this study, the DRIE characteristics of borosilicate glass in high-density inductively coupled plasma (ICP) were investigated by varying the various process parameters. A comparative study of continuous etching by using SF6 and SF6 /Ar plasmas and a cyclic etching process by using alternating SF6 /Ar plasma (A-step) and SF6 plasma (B-step) was carried out. The concept of cyclic etching process here is little different from the DRIE of Si by using the Bosch process that combines a repetitive side-wall passivation and etching step [21]. The use of a cyclic etching process combining the advantages of two single-step etching (i.e. continuous etching) processes was effective in removing the under-cut and microtrenching in the SF6 plasma and in improving the slower etch rate in the SF6 /Ar plasma. II. EXPERIMENTS DRIE of borosilicate glass was carried out by using a commercial 8-inch inductively-coupled-plasma (ICP) reactor (TCP 9100, Lam Research Corp.) equipped with a turbo molecular pump (2000 l/sec) backed by a dry pump. Plasma generation was controlled by MHz top-electrode power. The bottom-electrode power was supplied by a 4-MHz r.f. generator to induce the negative dc self-bias voltage (Vdc ). The glass used in this experiment was 700-µm-thick borosilicate glass (Borofloat 33 with the composition of SiO2 82 %, B2 O3 5 %, Al2 O3 7 %, Na2 O 6 %) with 1 1 cm2 square shape. After cleaning of the sample by using trichloroethylene, acetone and ethanol, adhesion and seed layers of Cr ( = 50 nm) and Cu ( = 100 nm), respectively, were sputter-deposited on the glass in order to improve the adhesion between glass and Cu seed layer and electrical conductivity for Ni electroplating. Then, a negative photoresist (SU , Microchem Inc.) with a thickness of = 15 µm was spin-coated on the Cr/Cu layer and patterns with line and spacing of µm were formed by UV (ultraviolet) photolithography. The Ni deposition was carried out by dc electroplating. After Ni electroplating, SU-8 photoresist was removed. Selective wet etching of the Cr adhesion and Cu seed layers followed. The fabricated Ni hard mask thickness of 9 µm typically showed a profile angle of 88. The samples were attached to an 8-inch Si wafer placed on the wafer chuck. The back-surface temperature of the wafer chuck during etching was held at 18 C by circulating cooling water by using a chiller. Throughout the experiments, the chamber pressure was fixed at 18 mtorr by an automatic pressure controller using a throttle valve, and the top-electrode power at 1100 W. In order to understand the etching mechanism, optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS) are used to investigate the species in the plasma and the chemical binding states and composi- -S423- Fig. 1. Etch rate of glass as a function of (a) SF6 flow rate, (b) dc self-bias voltage, Vdc ; (c) SEM micrograph of glass channel etched in SF6 plasma for 15 min at Vdc of 700 V and SF6 flow rate of 200 sccm; and (d) SEM micrograph of glass channel etched in SF6 plasma for 15 min at Vdc of 500 V and SF6 flow rate of 200 sccm. tion of the etched glass surface, respectively. The optical diagnostic system for OES is equipped with a monochromator (Jobin Yvon SPEX 270M) having 1200 grooves per mm and focal length of 270 nm. The optical signal is detected by means of a photomultiplier tube (PMT) that covers a spectral range of nm. A Mg (Kα) source for X-ray photoelectron spectrometer (AES-XPS ESCA 2000) providing monochromatic X-rays at ev was used for XPS analysis. The angle of the incident X-rays was 54.7 relative to the detector axis. The take-off angle (the angle between the sample surface and the detector axis) was kept at 90 during the measurements. Etch rate and profiles were measured by scanning electron microscope (SEM, HITACHI S-3500H). III. RESULTS AND DISCUSSION First, continuous DRIE of borosilicate glass was carried out in the SF6 plasmas. The etch rate was measured as a function of SF6 flow rate and Vdc. The etch results for the channels with a width of 15 µm are shown in Figure 1(a)-(c). As shown in Figure 1(a), with increasing SF6 flow rate from 100 to 300 sccm at a fixed Vdc of 500 V, the etch rate increased from = 530 to 800 nm/min. A gradual increase in the glass etch rate with increasing SF6 flow rate was attributed to the increase in the F-radical density, which is indicated by an increase of the optical emission intensity at nm (not shown here) from the F radicals with increased SF6 flow rate. In this experiment, the selectivity was infinitely high because the Ni hard mask was covered by the non-volatile reaction by-products formed during the etching process, resulting in deposition on the Ni hard mask rather than in erosion.

3 -S424- In Figure 1(b), the etch rate was enhanced by increasing Vdc from 400 to 500 V, but it dramatically decreased at a self-bias voltage of > 500 V. A maximum etch rate of =750 nm/min was obtained at the Vdc of 500 V. In general, the etch rate is expected to increase with Vdc, due to the increased ion energy [13,22]. In the present experimental condition, however, the reason for the decreased etch rate is presumably the re-deposition of the Ni atoms physically sputtered from the Ni hard mask due to a very high ion bombardment energy under a high -Vdc range larger than 500 V. The SEM image in Figure 1(c), as an example, indicates the formation of a grass-like morphology possibly due to the re-deposition of the sputtered Ni atoms at the high Vdc value of 700 V and, as a result, a reduction in the etch rate. A SEM image of the glass channel etched for 15 min at a Vdc of 500 V and a SF6 flow rate of 200 sccm is shown in Figure 1(d). Figure 1(d) shows an undercut below the Ni hard mask due to chemical etching and micro-trenching at the bottom of the etched channel. The micro-trenching has been explained by various mechanisms such as Knudsen transport of neutrals, ion shadowing, neutral shadowing, and differential charging of insulating microstructure [14,23]. When the ions are injected into the deep channel that is being etched, scattering can be affected by the sloped Ni mask edge profile in this work [14,23]. The impact of high-energy particles at grazing angles on the sidewall, followed by specular reflection, may lead to a higher etch rate at the base of the channel sidewalls and, as a result, micro-trenching. In order to get rid of the under-cut and micro-trench formation, Ar was added to SF6 gas for the enhancement of the ion-enhanced removal of the etch by-products. The measured etch rates of the channels with width of 15 µm are shown in Figure 2(a). The Vdc and the etch time for the etch-rate measurement were 500 V and 15 min, respectively. The etch rate in Figure 2(a) was initially increased to = 580 nm/min, decreased at the Ar/(SF6 +Ar) flow ratio of 0.3, and then decreased sharply. The tendency of the etch rate variation with the Ar/(SF6 +Ar) flow ratio is similar to the variation tendency of the F radical emission intensity (see Figure 3(a)) as well as of the F concentration on the etched surface (see Figure 3(b)). This observation indicates that the etch rate measured in the SF6 /Ar plasmas is limited by the neutral flux, Γneutral, with the Ar gas added under conditions in which the ion flux is enough to remove the etch by-products. With increasing bias power, i.e. Vdc, as seen in Figure 2(b), the etch rate of the channels initially increased to a maximum etch rate of =560 nm/min, as the Vdc value increased from 400 to 500 V, and then decreased with a further increase of the Vdc value. Similarly to the case of the SF6 plasma etching (Figure 1(b)), the redeposition of the Ni atoms physically sputtered from the Ni hard mask under a high Vdc range larger than 500 V presumably contributed to the decrease in the etch rate. For the SF6 /Ar plasma etching, profile improvement Journal of the Korean Physical Society, Vol. 47, November 2005 Fig. 2. Etch rate as a function of (a) Ar/(SF6 +Ar) flow ratio, (b) dc self-bias voltage, Vdc ; (c) SEM micrograph of channel etched in SF6 /Ar plasma at Vdc of 500 V and 40 % Ar flow ratio. with no under-cut and micro-trenching was observed as compared to the SF6 plasma etching. Figure 2(c) shows the etched channel with a depth of =15 µm at a Vdc of 500 V and 40 % Ar flow ratio. As seen from the SEM micrograph in Figure 2(c), the addition of the Ar gas to the SF6 chemistry was effective for sputtering of the residues by high-flux Ar ion bombardment, leading to the improved profile as well as to the removal of the under-cut and bottom micro-trenching. The most probable reason for the profile with no under-cut below the Ni hard mask is that the sidewall passivation occurred more effectively at the initial stage of the etching process in the SF6 /Ar plasma by the re-deposition of the non-volatile reaction products due to an increased ionenhanced sputter etching of the fluorides as compared to the case of the SF6 plasma. The effective removal of the

4 Continuous and Cyclic Deep Reactive Ion etching of J. H. Park et al. -S425- Fig. 3. (a) Optical emission intensities from F + (491.5 nm), F radicals (685.5 nm), Ar + ( nm), and Ar radicals (696.5 nm) as a function of Ar/(SF 6+Ar) flow rate ratio, and (b) relative atomic compositions on etched glass surface as a function of Ar/(SF 6+Ar) flow rate ratio. micro-trenching at the trench bottom is also presumably attributed to the enhancement of the bottom etching due to the increased ion flux in the SF 6 /Ar plasmas as compared to that in the SF 6 plasmas. In order to understand the etching mechanism of the glass in the SF 6 /Ar inductively coupled plasma (ICP), OES and XPS measurements were carried out for the plasma and etched surface, respectively. The OES measurement was performed at the top power of 1100 W without substrate biasing. The Ar-flow-ratio dependence of the two F emissions at nm and nm, the Ar emission at nm, and the Ar + emission at nm in the SF 6 /Ar plasma is shown in Figure 3(a). The emission intensities of the Ar radicals and ions increased and those of the F radicals decreased with increasing Ar/(SF 6 +Ar) flow ratio. At an Ar flow ratio of 40 %, the emission intensity of the F radicals started to decrease more rapidly. It is therefore evident from the OES data in Figure 3(a) that, as the Ar gas flow ratio increased, the ion-to-neutral flux ratio, Γ ion /Γ neutral, was significantly increased due to a decrease in the radical flux, Γ neutral, as well as a significant increase in the ion flux, Γ ion. Relative atomic compositions of the glass surfaces etched in the SF 6 /Ar plasmas were obtained from the XPS spectra (not shown here) and plotted in Figure 3(b). A quantitative analysis of relative atomic concentrations on the unetched and etched glass surfaces was performed by utilizing the surface peak areas and atomic sensitivity factors [23,24]. The other elements are mainly oxygen and contaminated carbon. The tendency of the decrease in the F concentration with increasing Ar flow ratio is similar to that of the F emission intensity in Figure 3(a). As the Ar flow ratio increased, i.e. the SF 6 flow decreased, the relative atomic compositions of Al, Si, F, Na, and B decreased due to the reduction of the F-radical densities in the plasma. This result suggests that the reaction of the F radicals with the elements in the glass is limited by the F-radical density in the plasma. The combined results for the continuous etching by using SF 6 /Ar chemistry from the etch rate, OES, and XPS measurements imply that the reaction of F radicals reacting with the elements in glass, as well as the ion-assisted removal of SiF x and other fluorides on the surface, is important in the etching mechanism of glass when using SF 6 /Ar plasma chemistry. Therefore, it can be concluded that the fluorination of Al, Na, B, and Si leading to the formation of the various fluorides and ionassisted removal of the fluorides play competitive roles in the etching of the borosilicate glass. The results indicate that the etching process utilizing Ar addition helps to remove non-volatile by-products. To improve the profile problems of the under-cut and micro-trenching in the SF 6 plasma and to increase the slower etch rate in the SF 6 /Ar plasma compared to that in the SF 6 plasma, we also investigated a cyclic etching process using SF 6 /Ar (A-step) and SF 6 (B-step) plasmaetching steps. For the SF 6 /Ar-plasma etch step, SF 6 (120 sccm)/ar(80 sccm) and V dc of 500 V were used. For the SF 6 -plasma etch step, SF 6 flow of 200 sccm and V dc of 500 V were used. The cyclic process was performed by varying the process parameters of period (A-step + B-step) and duty ratio (A-step: B-step). The glass etch rates during the cyclic etching are shown in Figure 4(a) and (b) as a function of period and duty ratio, respectively. The etch rate was obtained by averaging the measured values from the several channels. In Figure 4(a), the average etch rates for the duty ratios of 1 : 1 and 2 : 3 were obtained for the various period times. For the duty ratio of 2 : 3, the average etch rate increased from = 420 to 600 nm/min as the period time increased from 1 to 5 min and then decreased with a further increase in the period time. For the duty ratio of 1 : 1, the average etch rate was not significantly changed with the period time. In Figure 4(b), the average etch rate for each period time is indicated as a function of the duty ratio. As seen from the data in Figure 4(b), the average etch rate increased on decreasing the duty ratio i.e. decreasing the etch time for A-step etching because of the faster etch rate in the SF 6 etching step. The average profile angles of the channels etched by the cyclic etching process as a function of duty ratio and period time were measured from the several etched channels, and the results are shown in Figure 5. The average-

5 -S426- Journal of the Korean Physical Society, Vol. 47, November 2005 Fig. 4. Average etch rate as a function of (a) period time (min), and (b) duty ratio (A-step : B-step). Fig. 5. Average profile angle as a function of (a) period time (min), and (b) duty ratio (A-step : B-step). profile-angle data in Figure 5(a), obtained by varying the period time for the duty ratios of 1 : 1 and 2 : 3, the trend in the profile angle variation was not clearly observed, similarly to that in the etch rate variation in Figure 4(a). As shown in Figure 5(b), on the other hand, the profile angles of glass channels were increased by decreasing the duty ratio i.e. by decreasing the etch time for A-step etching. Figure 6(a) shows a cross-sectional image of a glass channel etched for 60 min at a duty ratio of 1 : 1 and a period time of 5 min. The image shows a high-aspectratio channel structure with a profile angle of 89, a channel width of =12 µm, and a depth of =27 µm. A top-view image (combined from the several shots) of a microfluidic mixing channel etched at an optimized condition with a period of 5 min and a duty ratio of 1 : 1 is shown in Figure 6(b). As seen from the data in Figures 4-6, the cyclic etching process was effective in the improvement of the profile problems of the SF6 plasma process and the slower etch rate of the SF6 /Ar plasma process. The decrease in the duty ratio (A-step : B-step) i.e. decrease in the etch time for A-step etching (SF6 /Ar etching step) at a given period, the etch rates and the profile angles were improved, even though the variation of the period time did not give a clear tendency in the etch rate and profile angles. By using the cyclic etching process, a microfluidic mixing channel could be successfully fabricated. IV. CONCLUSION We investigated SF6 and SF6 /Ar inductively coupled plasma etching processes for microfluidic channel fabrication on borofloat 33 glass under continuous and cyclic

6 Continuous and Cyclic Deep Reactive Ion etching of J. H. Park et al. -S427- ing steps. It was observed that etch rates in SF6 and SF6 /Ar chemistries were dependent on the period time and duty ratio. An etch rate of =600 nm/min in the SF6 and SF6 /Ar cyclic etching process was achieved at a self-bias voltage of 500 V. The physical etching process in the SF6 /Ar plasma etching step helped to remove the non-volatile products due to the Ar ion bombardment effect and, as a result, a vertical etch profile angle of = 89 without under-cut and bottom micro-trenching was obtained. It was shown in this work that it is possible to fabricate a high-aspect-ratio microfluidic channel by using a cyclic etching process in SF6 /Ar and SF6 inductively coupled plasmas. ACKNOWLEDGMENTS This work was supported in part through the Electro0580 Program by the Ministry of Commerce, Industry and Energy and the Ministry of Information and Communication and in part through the Center of Excellency Program by the Korea Science and Engineering Foundation and the Ministry of Science and Technology (Grant No. R ). Fig. 6. (a) Cross-sectional SEM image of etched glass channel, and (b) top-view SEM image of microfluidic mixing channel etched for 60 min at duty ratio of 1:1 and period time of 5 min. etching conditions. It was observed that the etch rates in the SF6 and SF6 /Ar chemistries were dependent on the dc self-bias voltage and the Ar/(SF6 +Ar) ratio. Selectivity was infinitely high because Ni hard mask was deposited by the non-volatile products produced by the reaction of F with the elements in the glass. A high etch rate of =750 nm/min was achieved in the SF6 plasma at the self-bias voltage of 500 V, but profile problems such as under-cut and micro-trenching were observed. The addition of Ar to the SF6 chemistry was effective in removing the profile problems, but reduced the etch rate. The OES measurement results for the investigation of Ar/(Ar+SF6 ) flow-ratio effects suggest that the increase in the emission intensity of F radicals is closely related to the etch rate of glass, and the XPS measurement results on chemical binding states and surface composition suggest that the chemical reaction of F radicals with Si, Al, B, and Na in the glass plays an important role in determining the glass etch rate, together with an ion-enhanced removal mechanism of the fluoride by-products. To solve the problems associated with the continuous etch processes, we investigated cyclic plasma etching processes by using alternating SF6 and SF6 /Ar plasma etch- REFERENCES [1] D. R. Reyes, D. Lossifidis, P. A. Auroux and A. Manz, Anal. Chem. 74, 2623 (2002); P. A. Auroux, D. Lossifidis, D. R. Reyes and A. Manz, Anal. Chem. 74, 2367 (2002); Torsten Vilkner, D. Janaek and A. Manz, Anal. Chem. 76, 3373 (2004). [2] D. Diamond, M. Sequeira, M. Bowden and E. Minogue, Talanta 56, 355 (2002). [3] K. Sato and A. Hibara, Anal. Sci. 19, 157 (2003). [4] A. Guttman and J. Khandurina, J. Chromatography A 943, 159 (2002). [5] T. Ichiki, Y. Sugiyama, R. Taura, T. Koidesawa and Y. Horiike, Thin Solid Films 435, 62 (2003). [6] L. Ceriotti, K. Weible, N. F. de Rooij and E. Verpoorte, Microelecronic Eng , 865 (2003). [7] T. Corman, P. Enoksson and G. Stemme, J. Micromech. Microeng. 8, 84 (1998). [8] M. Kohler, Etching in Microsystem Technology (Wiley VCH Weinheim, New York, 1999), p [9] I. Rodriguez, P. Spicar-Mihalic, C. L. Kuyper, G. S. Fiorini and D. T. Chiu, Analytica Chimica Acta 496, 205 (2003). [10] H.-Y. Song, C.-W. Kim, S.-G. Park, B.-H. O, S.-G. Lee and E.-H. Lee, J. Korean Phys. Soc. 45, 748 (2004). [11] H. G. Lee, C. Y. Jeong, H. S. Moon, S. H. Kim, J. Ahn and S. Sohn, J. Korean Phys. Soc. 33, 91 (1998). [12] J.-W. Yeo, D.-P. Kim and C.-I. Kim, J. Korean Phys. Soc. 44, 1092 (2004). [13] P. W. Leech, Vacuum 55, 191 (1999). [14] Y. Sugiyama, T. Ichiki and Y. Horiilke, Dry Process Symposium (Tokyo, 2001), p. 63.

7 -S428- Journal of the Korean Physical Society, Vol. 47, November 2005 [15] X. Li, T. Abe and M. Esashi, Sensors and Actuators A 87, 139 (2001). [16] X. Li, T. Abe and M. Esashi, J. Microelectromechanical Syst. 11, 625 (2002). [17] S. Ronggui and G. C. Righini, J. Vac. Sci. Technol. A 9, 2709 (1991). [18] E. Metwalli and C. G. Pantano, Nuclear Instrument and Method in Physics Research B 207, 21 (2003). [19] T. Ichiki, Y. Sugiyama, T. Ujiie and Y. Horiike, J. Vac. Sci. Technol. B 21, 2188 (2003). [20] D. A. Zeze, R. D. Forrest, J. D. Carey, D. C. Cox, I. D. Robertson, B. L. Weiss and S. R. P. Silva, J. Appl. Phys. 92, 3624 (2002). [21] I. C. Abraham, J. R. Woodworth, M. E. Riley, P. A. Miller, R. J. Shul and C. G. Willison, J. Vac. Sci. Technol. B 21, 1112 (2003). [22] C. Steinbruchel, J. Electrochem. Soc. 130, 648 (1983). [23] R. J. Hoekstra, M. Kushner, V. Sukharev and P. Schoenorn, J. Vac. Sci. Technol. B 16, 2102 (1998). [24] J. F. Moulder, W. F. Stickle, P. E. Sobol and K. D. Bomben, Handbook of X-ray Photoelectron Spectroscopy (Perkin-Elmer Corporation, Eden Prairie, 1992). [25] D. Briggs and J. C. Rivière, Practical Surface Analysis, Edited by D. Briggs and M. P. Seah (John Wiley & Sons, New York, 1983), Vol. 1, p. 136; D. Briggs, ibid, p. 443.

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements Uwe Scheithauer, 82008 Unterhaching, Germany E-Mail: scht.uhg@googlemail.com Internet: orcid.org/0000-0002-4776-0678;

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Etching studies of silica glasses in SF 6 /Ar inductively coupled plasmas: Implications for microfluidic devices fabrication

Etching studies of silica glasses in SF 6 /Ar inductively coupled plasmas: Implications for microfluidic devices fabrication Etching studies of silica glasses in SF 6 /Ar inductively coupled plasmas: Implications for microfluidic devices fabrication L. Lallement Institut des Matériaux Jean Rouxel (IMN), University of Nantes,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Supporting Information. Re-Investigation of the Alleged Formation of CoSi Nanoparticles on Silica. Van An Du, Silvia Gross and Ulrich Schubert

Supporting Information. Re-Investigation of the Alleged Formation of CoSi Nanoparticles on Silica. Van An Du, Silvia Gross and Ulrich Schubert Supporting Information Re-Investigation of the Alleged Formation of CoSi Nanoparticles on Silica Van An Du, Silvia Gross and Ulrich Schubert Experimental All manipulations were carried out under an atmosphere

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Supplementary information for

Supplementary information for Supplementary information for Transverse electric field dragging of DNA in a nanochannel Makusu Tsutsui, Yuhui He, Masayuki Furuhashi, Rahong Sakon, Masateru Taniguchi & Tomoji Kawai The Supplementary

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

University of California, Santa Barbara Santa Barbara, California 93106

University of California, Santa Barbara Santa Barbara, California 93106 HIGH-ASPECT-RATIO INDUCTIVELY COUPLED PLASMA ETCHING OF BULK TITANIUM FOR MEMS APPLICATIONS E. R. Parker 1, M. F. Aimi 2, B. J. Thibeault 3, M. P. Rao 1, and N. C. MacDonald 1,2 1 Mechanical and Environmental

More information

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Korean J. Chem. Eng., 0(), 407-413 (003) Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Jung-Hyun Ryu, Byeong-Ok Cho, Sung-Wook Hwang, Sang Heup Moon and Chang-Koo Kim*

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma Plasma Science and Technology, Vol.15, No.10, Oct. 2013 Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma XU Yijun ( ) 1,2, WU

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Lecture 18: Microfluidic MEMS, Applications

Lecture 18: Microfluidic MEMS, Applications MECH 466 Microelectromechanical Systems University of Victoria Dept. of Mechanical Engineering Lecture 18: Microfluidic MEMS, Applications 1 Overview Microfluidic Electrokinetic Flow Basic Microfluidic

More information

Department of Chemistry, NanoCarbon Center, Houston, Texas 77005, United States, University of Central Florida, Research Parkway,

Department of Chemistry, NanoCarbon Center, Houston, Texas 77005, United States, University of Central Florida, Research Parkway, Flexible Nanoporous WO3-x Nonvolatile Memory Device Supporting Information Yongsung Ji,, Yang Yang,,&, Seoung-Ki Lee, Gedeng Ruan, Tae-Wook Kim, # Huilong Fei, Seung-Hoon Lee, Dong-Yu Kim, Jongwon Yoon

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics Surface and Coatings Technology 174 175 (2003) 839 844 Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics M.C. Kim, S.H. Yang *, J.-H. Boo, J.G. Han

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev Characterization of Secondary Emission Materials for Micro-Channel Plates S. Jokela, I. Veryovkin, A. Zinovev Secondary Electron Yield Testing Technique We have incorporated XPS, UPS, Ar-ion sputtering,

More information

Ion sputtering yield coefficients from In thin films bombarded by different energy Ar + ions

Ion sputtering yield coefficients from In thin films bombarded by different energy Ar + ions Ion sputtering yield coefficients from thin films bombarded by different energy Ar + ions MJ Madito, H Swart and JJ Terblans 1 Department of Physics, University of the Free State, P.. Box 339, Bloemfontein,

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

Supporting Information

Supporting Information Supporting Information Copyright Wiley-VCH Verlag GmbH & Co. KGaA, 69451 Weinheim, 2010 Fluoride-Modulated Cobalt Catalysts for Electrochemical Oxidation of Water under Non-Alkaline Conditions James B.

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma Journal of the Korean Physical Society, Vol. 54, No. 3, March 2009, pp. 11561160 Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma S. M. Kang, W. J. Park and

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

Electronic Supplementary Information: Synthesis and Characterization of Photoelectrochemical and Photovoltaic Cu2BaSnS4 Thin Films and Solar Cells

Electronic Supplementary Information: Synthesis and Characterization of Photoelectrochemical and Photovoltaic Cu2BaSnS4 Thin Films and Solar Cells Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information: Synthesis and Characterization of

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate Appl. Sci. Converg. Technol. 27(6): 135-139 (2018) https://doi.org/10.5757/asct.2018.27.6.135 Research Paper Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

ICP-3000 Inductively Coupled Plasma Optical Emission Spectrometer

ICP-3000 Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer is powerful simultaneous full

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2529-2534 ARGON RF PLASMA TREATMENT OF FILMS FOR SILICON FILMS ADHESION IMPROVEMENT I. A. Rusu *, G. Popa, S. O. Saied

More information